脉搏计数器课程设计实验报告.docx

上传人:b****9 文档编号:25723779 上传时间:2023-06-11 格式:DOCX 页数:21 大小:3.30MB
下载 相关 举报
脉搏计数器课程设计实验报告.docx_第1页
第1页 / 共21页
脉搏计数器课程设计实验报告.docx_第2页
第2页 / 共21页
脉搏计数器课程设计实验报告.docx_第3页
第3页 / 共21页
脉搏计数器课程设计实验报告.docx_第4页
第4页 / 共21页
脉搏计数器课程设计实验报告.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

脉搏计数器课程设计实验报告.docx

《脉搏计数器课程设计实验报告.docx》由会员分享,可在线阅读,更多相关《脉搏计数器课程设计实验报告.docx(21页珍藏版)》请在冰豆网上搜索。

脉搏计数器课程设计实验报告.docx

脉搏计数器课程设计实验报告

 

电子系统课程设计报告

 

专业:

电子信息工程专业

班级:

电子

(1)

姓名:

XXX

学号:

E10610104

指导教师:

XXXXXXXXXXXX

 

2012年6月5日

 

目录

一.设计目的………………………………………………………………………

二.设计要求………………………………………………………………………

三.设计指标………………………………………………………………………

四.设计框图及整机概述…………………………………………………………

五.各单元电路的设计及仿真……………………………………………………

1.检测电路…………………………………………………………………

2.放大电路…………………………………………………………………

3.滤波电路…………………………………………………………………

4.整形电路…………………………………………………………………

5.倍频电路…………………………………………………………………

6.定时电路…………………………………………………………………

7.计数电路…………………………………………………………………

8.译码电路…………………………………………………………………

9.显示电路…………………………………………………………………

六.电路装配、调试与结果分析…………………………………………………

七.数字电路的FPGA设计、仿真与调试………………………………………

八.设计、装配及调试中的体会…………………………………………………

九.附录(包括整机逻辑电路图和元器件清单)………………………………

十.参考资料……………………………………………………………..………

一.设计目的

电子技术综合设计是综合应用模拟电子技术、数字电子技术、电子设计自动化(EDA)技术进行电子系统的综合设计。

本课程设计通过电子脉搏测试仪的设计,要求学生熟悉电子系统设计的方法、步骤,包括参数计算、元器件选择、仿真、画原理图和印制电路板图、焊接、模拟电路的调试、数字电路的调试,以及整机通调等。

二.设计要求

脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的主要组成部分。

本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数

三.设计指标

1.设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。

正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。

可自行设计所需的直流电源,也可用实验室提供的直流电源。

2.设置指示电路指示直流电源的正常与否。

3.放大电路之后设置指示电路指示放大电路的正常与否。

4.放大电路放大倍数可调。

5.整形电路输出的方波占空比可调。

四.设计框图及整机概述

1.模拟电路的设计与仿真:

模拟电路的任务是将心脏脉动信号转换成数字脉冲信号,完成这个过程一般需包括检测电路、放大电路、滤波电路及整形电路。

检测电路是选择合适的传感器,将非电物理量转换成电信号,本设计中,需将心脏脉动信号转换成电信号。

由于检测电路输出的电信号比较微弱,必须加以放大,以达到整形电路所需的电压,一般为几伏。

放大倍数将由整形电路所需电压值与传感器输出电压值决定。

滤波电路的作用是把脉搏信号中的高频干扰信号去掉。

经过放大滤波后的脉搏信号仍是不规则的脉冲信号,且有低频干扰,仍不满足计数器的要求,必须采用整形电路。

2.数字电路的设计与仿真:

数字电路的任务是对模块电路转换所得的数字脉冲信号先进行倍频,然后在30s内进行计数,并将计数所得结果用数码管显示出来。

完成这个过程一般需包括倍频电路、定时电路、计数电路、译码电路及显示电路。

为了缩短测量心跳时间,可将整形后的脉冲倍频。

本设计规定30s测量时间,但要求显示1分种的心跳次数,因此需将脉冲2倍频。

定时电路提供30s定时,当定时器开始定时,同时启动计数器计数,定时30s结束,关闭计数器。

计数器的作用是在30s时间内,对脉冲进行计数。

译码电路是将计数所得的十进制BCD码译码成七段数码管的驱动码,从而驱动数码管显示。

图1脉搏测试仪电路的原理框图

五.各单元电路的设计及仿真

1.检测电路

图2脉搏测试仪电路的原理框图

将脉搏的跳动转化成了模拟量输入电路中,后面用到的是200Hz,20mV的信号源代替。

2.放大电路

图3放大电路仿真接线

放大倍数:

=100

(1)

如图,采用反相放大器,由于输入信号为20mV,输出信号需要达到几伏,所以采用放大倍数为100的放大装置。

图4放大电路仿真输出波形

仿真时候采用的是10mV的信号源,仿真后输出波形电压值达到将近1V,达到了放大100倍的效果。

3.滤波电路

图5滤波电路仿真接线

(2)

通过滤波公式计算得到,截止频率为318Hz,滤波的效果就是为了将高频除去。

图6滤波电路波特图仿真输出

图7滤波电路仿真输出波形

4.整形电路

图8整形电路仿真接线

图9整形电路仿真输出波形

整形电路将模拟信号转变为数字信号,将正弦波转换为方波。

5.倍频电路

图10倍频电路仿真接线

图11倍频电路仿真输出波形

倍频电路采用的是异或门装置,倍频电路将200Hz,的波形倍频成为400Hz的波形,这样才能使得在30秒之内就测出60秒钟的心跳。

6.定时电路

图12定时电路仿真接线

图13定时电路仿真输出波形

(3)

定时采用的是555定时器构成的单稳态定时,单稳态要求用低电平触发,所以采用开关模式,开关一闭合,便产生一低电平,使得3脚输出高电平,定时时间为30秒,30秒之后3脚输出变回低电平。

定时时间为30秒,在实验装配的时候

是用一个电阻和一个滑动变阻器组合而成了,为了更准确地调出30秒的时间。

7.计数、译码、显示电路

图14计数、译码、显示电路仿真接线

倍频之后的方波接在个位的74LS160,使其进行计数,并将第一个的进位作为第二个的时钟,这样可以进行三位计数。

555定时器的输出作为时能断,使得计数电路的工作时间为555的定时时间,时间到的时候讲计数停在那一刻。

电译码电路用CD4511,将计数之后的结果进行译码输入数码显示管。

电数码管采用的是共阴数码管,公共端需接地。

六.电路装配、调试与结果分析

图15模电部分装配

图16模电部分调试输出波形

模电部分放大,滤波,整形之后输出的波形

图17完整焊接后的电路板

将焊好的电路板接入电路箱中,检测电路灯亮,开关按下之前,数码管显示‘000’

图18计时30秒后电路计数停止

结果分析:

在实验桌上连接可模电部分的电路,成功将整形波通过放大,滤波,整形后出现方波。

数电部分没有进行装配就直接焊接了。

通过几个星期的焊接之后,进行调试,在开关按下之前,电路板显示为‘000’,按下开关,电路板开始计数,按照信号源的输入频率和幅度大小跳动。

30秒之后成功停止,电路板上显示了一分钟的脉搏‘075’,并且停在计数的最后一秒钟。

七.数字电路的FPGA设计、仿真与调试

1.FPGA程序:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entitytestis

Port(

clk1:

instd_logic;

clk2:

instd_logic;

en:

instd_logic;

clr:

instd_logic;

dout:

bufferstd_logic_vector(3downto0);

led:

outstd_logic_vector(6downto0);

q:

outstd_logic_vector(11downto0);

ds:

outstd_logic_vector(2downto0));

endtest;

architectureBehavioraloftestis

signalge,shi,bai:

std_logic_vector(3downto0):

="0000";

signalq2:

std_logic_vector(1downto0):

="00";

begin

P1:

process(clk1,clr)

begin

if(clr='0')then

ge<="0000";

shi<="0000";

bai<="0000";

elsif(clk1'eventandclk1='1')then

if(en='1')then

if(ge="1001")then

ge<="0000";

if(shi="1001")then

shi<="0000";

if(bai="1001")then

bai<="0000";

else

bai<=bai+1;

endif;

else

shi<=shi+1;

endif;

else

ge<=ge+1;

endif;

endif;

endif;

q<=bai&shi≥

endprocess;

P2:

process(clk2)

begin

if(clk2'eventandclk2='1')then

q2<=q2+1;

endif;

if(q2=1)thends<="001";dout<=ge;

elsif(q2=2)thends<="010";dout<=shi;

elsif(q2=3)thends<="100";dout<=bai;

elseds<="000";

endif;

endprocess;

P3:

process(dout)

begin

casedoutis

when"0000"=>led<="0111111";

when"0001"=>led<="0000110";

when"0010"=>led<="1011011";

when"0011"=>led<="1001111";

when"0100"=>led<="1100110";

when"0101"=>led<="1101101";

when"0110"=>led<="1111101";

when"0111"=>led<="0000111";

when"1000"=>led<="1111111";

when"1001"=>led<="1101111";

whenothers=>led<="0000000";

endcase;

endprocess;

endBehavioral;

 

2.仿真结果:

图19FPGA语言仿真结果显示

3.调试结果:

通过FPGA程序输出三位计数器的结果。

图20FPGA语言调试结果显示

FPGA程序调试结果是三位计数器。

八.设计、装配及调试中的体会

1.在设计中的体会:

先拿到题目时候,感觉好乱,先是静下心来认真想了一下,然后拿起上学期的书本翻了一翻,感觉瞬间有思路了。

放大采用的是LM741的反相放大器,先开始由于放大倍数太大了,结果失真了,接下来也就是自己摸索摸索的事情。

滤波也是LM741元器件组合的,将高波滤掉,采用的是普通的滤波方法。

整形是一个简单的比较器。

在倍频电路设计时出了些问题,自己对分频比较了解,对倍频一下子没有感觉,之后在教科书和辅导书的阅读后还是解决了。

定时电路中先开始只是知道用555定时器,但是别的没有思绪,翻书了解后,某次上课突然来了灵感,我决定在设计电路的过程中,灵感是非常重要的。

接下来的数电部分,就差不多是规规矩矩来的,按照芯片的原理就可以连接。

2.在装配中的体会:

由于之前没有碰过烙铁之类的东西,发到一块焊板,都不知道从何下手。

显示在别的旧的没有的焊板上锻炼了一会儿,然后便正式做上了。

那时候感觉焊接是一件很愉快的事情,但是到后面碰到了说什么虚焊啊,线没有接好啊之类的问题,便觉得没那么轻松。

因为是第一次焊接,所以速度很慢,而且接的不是很稳定。

于是就自己约了同学干脆在淘宝上买了烙铁,锡之类的源材料,在寝室里焊了起来。

由于水平有限,所以多次把自己的刘海给烫焦了。

焊好之后一次性拿去调试,发现问题重重啊。

3.在调试中的体会:

调试过程可以说是最痛苦的过程也是最漫长的过程,每周三早上过去调试,但是电路板上密密麻麻的焊点,不知道从哪里开始检查。

先开始发现接上电源之后倍频的器件便开始发烫,电源接久了便越来越烫,后来经过仔细地一个个点检查,发现有一个地方短路了,断开后轻松解决问题。

又发现一个数码管总是不亮,另外两个都可以正常亮,检查了下发现时一个接地没有接。

灯全亮了之后,看着也感觉好了大半了。

可是跳太快了,于是便减小频率到2Hz,结果就是乱跳了,个位数不对,十位和百位的进位都是正确的。

再用2Hz的频率对模电部分进行调试,发现出现的方波不好,像是充放电,老师帮忙看了下之后说可能是滤波的时候把低频给滤掉了,可是自己设计的时候明明是滤高频的,而且100Hz频率的时候测出来的方波很漂亮。

于是只好回去慢慢看慢慢检查,将截止频率改为15Hz的样子,结果再调试的时候数码管不跳了,这可急了,没办法只好再仿真再调电路,但是仿真时怎样的数据结果都是对的,也就只好再焊了,焊回原来那样。

 

九.附录

1.整机逻辑电路图

图21整机逻辑电路图

2.元器件清单

LM741*3

LM555CM*1

74LS160*3

CD4511BP*3

SEVEN_SEG_COM_K*3

1K

电阻*2

10K

电阻*1

200K

电阻*1

4.7K

电阻*1

200K

电阻*2

390

电阻*21

1uF电容*1

100uF电容*1

33uF电容*1

2.73uF电容*1

0.22uF电容*1

100K滑动变阻器*1

开关*1

 

十.参考资料

1.李震梅,房永钢.电子技术实验与课程设计.机械工业出版社.2011

2.DonaldA.Neamen著,王宏宝等译,电子电路分析与设计---①半导体器件及其基本应用、②模拟电子技术(第3版).清华大学出版社.2009

3.赛尔吉欧·佛朗哥(Franco.S)著,刘树棠,朱茂林,荣玫译.基于运算放大器和模拟集成电路的电路设计(第3版).西安交通大学出版社.2005

4.冈村迪夫著.王玲等译. OP放大电路设计.科学出版社.2011

5.XilinxISEDesignSuite10.xFPGA开发指南.逻辑设计篇.田耘等编著.人民邮电出版社.2008

6.XilinxFPGA/CPLD设计手册.王杰,王诚,谢龙汉编著.人民邮电出版社.2011

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > IT计算机 > 计算机软件及应用

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1