ImageVerifierCode 换一换
格式:DOCX , 页数:21 ,大小:3.30MB ,
资源ID:25723779      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/25723779.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(脉搏计数器课程设计实验报告.docx)为本站会员(b****9)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

脉搏计数器课程设计实验报告.docx

1、脉搏计数器课程设计实验报告电子系统课程设计报告专 业: 电子信息工程专业 班 级: 电 子 (1) 姓 名: XXX 学 号: E10610104 指导教师:XXX XXX XXX XXX 2012年6月5日目 录一设计目的二设计要求三设计指标四设计框图及整机概述五各单元电路的设计及仿真1检测电路2放大电路3滤波电路4整形电路5倍频电路6定时电路7计数电路8译码电路9显示电路六电路装配、调试与结果分析七数字电路的FPGA设计、仿真与调试八设计、装配及调试中的体会九附 录(包括整机逻辑电路图和元器件清单)十参考资料 . 一设计目的电子技术综合设计是综合应用模拟电子技术、数字电子技术、电子设计自动

2、化(EDA)技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生熟悉电子系统设计的方法、步骤,包括参数计算、元器件选择、仿真、画原理图和印制电路板图、焊接、模拟电路的调试、数字电路的调试,以及整机通调等。二设计要求脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的主要组成部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数三设计指标1. 设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为6080次/min,婴儿为90100次/min,老人为100150次/min。可自行设计

3、所需的直流电源,也可用实验室提供的直流电源。2. 设置指示电路指示直流电源的正常与否。3. 放大电路之后设置指示电路指示放大电路的正常与否。4. 放大电路放大倍数可调。5. 整形电路输出的方波占空比可调。四设计框图及整机概述1. 模拟电路的设计与仿真:模拟电路的任务是将心脏脉动信号转换成数字脉冲信号,完成这个过程一般需包括检测电路、放大电路、滤波电路及整形电路。检测电路是选择合适的传感器,将非电物理量转换成电信号,本设计中,需将心脏脉动信号转换成电信号。由于检测电路输出的电信号比较微弱,必须加以放大,以达到整形电路所需的电压,一般为几伏。放大倍数将由整形电路所需电压值与传感器输出电压值决定。滤

4、波电路的作用是把脉搏信号中的高频干扰信号去掉。经过放大滤波后的脉搏信号仍是不规则的脉冲信号,且有低频干扰,仍不满足计数器的要求,必须采用整形电路。2数字电路的设计与仿真:数字电路的任务是对模块电路转换所得的数字脉冲信号先进行倍频,然后在30s内进行计数,并将计数所得结果用数码管显示出来。完成这个过程一般需包括倍频电路、定时电路、计数电路、译码电路及显示电路。为了缩短测量心跳时间,可将整形后的脉冲倍频。本设计规定30s测量时间,但要求显示1分种的心跳次数,因此需将脉冲2倍频。定时电路提供30s定时,当定时器开始定时,同时启动计数器计数,定时30结束,关闭计数器。计数器的作用是在30时间内,对脉冲

5、进行计数。译码电路是将计数所得的十进制BCD码译码成七段数码管的驱动码,从而驱动数码管显示。图1 脉搏测试仪电路的原理框图五各单元电路的设计及仿真1检测电路图2 脉搏测试仪电路的原理框图将脉搏的跳动转化成了模拟量输入电路中,后面用到的是200Hz,20mV的信号源代替。2放大电路图3 放大电路仿真接线放大倍数: =100 (1)如图,采用反相放大器,由于输入信号为20mV,输出信号需要达到几伏,所以采用放大倍数为100的放大装置。图4 放大电路仿真输出波形仿真时候采用的是10mV的信号源,仿真后输出波形电压值达到将近1V,达到了放大100倍的效果。3滤波电路图5 滤波电路仿真接线 (2)通过滤

6、波公式计算得到,截止频率为318Hz,滤波的效果就是为了将高频除去。图6 滤波电路波特图仿真输出 图7 滤波电路仿真输出波形4整形电路图8 整形电路仿真接线图9 整形电路仿真输出波形整形电路将模拟信号转变为数字信号,将正弦波转换为方波。5倍频电路 图10 倍频电路仿真接线图11 倍频电路仿真输出波形倍频电路采用的是异或门装置,倍频电路将200Hz,的波形倍频成为400Hz的波形,这样才能使得在30秒之内就测出60秒钟的心跳。6定时电路图12 定时电路仿真接线图13 定时电路仿真输出波形 (3)定时采用的是555定时器构成的单稳态定时,单稳态要求用低电平触发,所以采用开关模式,开关一闭合,便产生

7、一低电平,使得3脚输出高电平,定时时间为30秒,30秒之后3脚输出变回低电平。定时时间为30秒,在实验装配的时候是用一个电阻和一个滑动变阻器组合而成了,为了更准确地调出30秒的时间。7计数、译码、显示电路图14 计数、译码、显示电路仿真接线倍频之后的方波接在个位的74LS160,使其进行计数,并将第一个的进位作为第二个的时钟,这样可以进行三位计数。555定时器的输出作为时能断,使得计数电路的工作时间为555的定时时间,时间到的时候讲计数停在那一刻。电译码电路用CD4511,将计数之后的结果进行译码输入数码显示管。电数码管采用的是共阴数码管,公共端需接地。六电路装配、调试与结果分析图15 模电部

8、分装配图16 模电部分调试输出波形模电部分放大,滤波,整形之后输出的波形图17 完整焊接后的电路板将焊好的电路板接入电路箱中,检测电路灯亮,开关按下之前,数码管显示000图18 计时30秒后电路计数停止结果分析:在实验桌上连接可模电部分的电路,成功将整形波通过放大,滤波,整形后出现方波。数电部分没有进行装配就直接焊接了。通过几个星期的焊接之后,进行调试,在开关按下之前,电路板显示为000,按下开关,电路板开始计数,按照信号源的输入频率和幅度大小跳动。30秒之后成功停止,电路板上显示了一分钟的脉搏075,并且停在计数的最后一秒钟。七数字电路的FPGA设计、仿真与调试1FPGA程序:library

9、 IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity test is Port ( clk1: in std_logic; clk2: in std_logic; en: in std_logic; clr: in std_logic; dout: buffer std_logic_vector(3 downto 0); led: out std_logic_vector(6 downto 0); q: out std_logic_vector(

10、11 downto 0); ds: out std_logic_vector(2 downto 0);end test;architecture Behavioral of test is signal ge,shi,bai:std_logic_vector(3 downto 0):=0000; signal q2:std_logic_vector (1 downto 0):=00; beginP1: process(clk1,clr) begin if(clr=0) then ge=0000; shi=0000; bai=0000; elsif(clk1event and clk1=1) t

11、hen if(en=1) then if(ge=1001) then ge=0000; if(shi=1001) then shi=0000; if(bai=1001) then bai=0000; else bai=bai+1; end if; else shi=shi+1; end if; else ge=ge+1; end if; end if; end if; q=bai & shi & ge; end process;P2: process(clk2) begin if(clk2event and clk2=1) then q2=q2+1; end if; if(q2=1) then

12、 ds=001; dout=ge; elsif(q2=2) then ds=010; dout=shi; elsif(q2=3) then ds=100; dout=bai; else ds led led led led led led led led led led led=0000000; end case; end process;end Behavioral;2.仿真结果:图19 FPGA语言仿真结果显示3调试结果:通过FPGA程序输出三位计数器的结果。图20 FPGA语言调试结果显示FPGA程序调试结果是三位计数器。八设计、装配及调试中的体会1在设计中的体会:先拿到题目时候,感觉好

13、乱,先是静下心来认真想了一下,然后拿起上学期的书本翻了一翻,感觉瞬间有思路了。放大采用的是LM741的反相放大器,先开始由于放大倍数太大了,结果失真了,接下来也就是自己摸索摸索的事情。滤波也是LM741元器件组合的,将高波滤掉,采用的是普通的滤波方法。整形是一个简单的比较器。在倍频电路设计时出了些问题,自己对分频比较了解,对倍频一下子没有感觉,之后在教科书和辅导书的阅读后还是解决了。定时电路中先开始只是知道用555定时器,但是别的没有思绪,翻书了解后,某次上课突然来了灵感,我决定在设计电路的过程中,灵感是非常重要的。接下来的数电部分,就差不多是规规矩矩来的,按照芯片的原理就可以连接。2.在装配

14、中的体会:由于之前没有碰过烙铁之类的东西,发到一块焊板,都不知道从何下手。显示在别的旧的没有的焊板上锻炼了一会儿,然后便正式做上了。那时候感觉焊接是一件很愉快的事情,但是到后面碰到了说什么虚焊啊,线没有接好啊之类的问题,便觉得没那么轻松。因为是第一次焊接,所以速度很慢,而且接的不是很稳定。于是就自己约了同学干脆在淘宝上买了烙铁,锡之类的源材料,在寝室里焊了起来。由于水平有限,所以多次把自己的刘海给烫焦了。焊好之后一次性拿去调试,发现问题重重啊。3.在调试中的体会:调试过程可以说是最痛苦的过程也是最漫长的过程,每周三早上过去调试,但是电路板上密密麻麻的焊点,不知道从哪里开始检查。先开始发现接上电

15、源之后倍频的器件便开始发烫,电源接久了便越来越烫,后来经过仔细地一个个点检查,发现有一个地方短路了,断开后轻松解决问题。又发现一个数码管总是不亮,另外两个都可以正常亮,检查了下发现时一个接地没有接。灯全亮了之后,看着也感觉好了大半了。可是跳太快了,于是便减小频率到2Hz,结果就是乱跳了,个位数不对,十位和百位的进位都是正确的。再用2Hz的频率对模电部分进行调试,发现出现的方波不好,像是充放电,老师帮忙看了下之后说可能是滤波的时候把低频给滤掉了,可是自己设计的时候明明是滤高频的,而且100Hz频率的时候测出来的方波很漂亮。于是只好回去慢慢看慢慢检查,将截止频率改为15Hz的样子,结果再调试的时候

16、数码管不跳了,这可急了,没办法只好再仿真再调电路,但是仿真时怎样的数据结果都是对的,也就只好再焊了,焊回原来那样。九附录1.整机逻辑电路图图21 整机逻辑电路图2.元器件清单LM741*3 LM555CM*174LS160*3CD4511BP*3SEVEN_SEG_COM_K*31K电阻*210K电阻*1200K电阻*14.7K电阻*1200K电阻*2390电阻*211uF电容*1 100uF电容*133uF电容*1,2.73uF电容*10.22uF电容*1100K滑动变阻器*1开关*1十参考资料1李震梅, 房永钢. 电子技术实验与课程设计. 机械工业出版社. 20112Donald A. N

17、eamen著,王宏宝等译, 电子电路分析与设计-半导体器件及其基本应用、模拟电子技术(第3版). 清华大学出版社. 20093. 赛尔吉欧佛朗哥 (Franco.S)著, 刘树棠, 朱茂林, 荣玫译. 基于运算放大器和模拟集成电路的电路设计(第3版) . 西安交通大学出版社. 20054. 冈村迪夫著. 王玲等译. OP放大电路设计. 科学出版社. 20115. Xilinx ISE Design Suite 10.x FPGA开发指南.逻辑设计篇. 田耘等编著. 人民邮电出版社. 20086. Xilinx FPGA/CPLD设计手册. 王杰, 王诚, 谢龙汉编著. 人民邮电出版社.2011

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1