数字锁相环课题研究.doc

上传人:b****1 文档编号:251324 上传时间:2022-10-07 格式:DOC 页数:38 大小:2.95MB
下载 相关 举报
数字锁相环课题研究.doc_第1页
第1页 / 共38页
数字锁相环课题研究.doc_第2页
第2页 / 共38页
数字锁相环课题研究.doc_第3页
第3页 / 共38页
数字锁相环课题研究.doc_第4页
第4页 / 共38页
数字锁相环课题研究.doc_第5页
第5页 / 共38页
点击查看更多>>
下载资源
资源描述

数字锁相环课题研究.doc

《数字锁相环课题研究.doc》由会员分享,可在线阅读,更多相关《数字锁相环课题研究.doc(38页珍藏版)》请在冰豆网上搜索。

数字锁相环课题研究.doc

数字锁相环课题研究

第1章绪论

1.1 课题研究的目的意义

本次进行研究的课题是全数字锁相环。

锁相环路是一种反馈电路,锁相环的英文全称是Phase-LockedLoop,简称PLL。

其作用是使得电路上的时钟和某一外部时钟的相位同步。

因锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。

锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。

锁相环在通信、雷达、测量和自动化控制等领域应用极为广泛,随着电子技术向数字化方向发展,需要采用数字方式实现信号的锁相处理。

因此,对全数字锁相环的研究和应用得到了越来越多的关注。

传统的数字锁相环系统是希望通过采用具有低通特性的环路滤波器,获得稳定的振荡控制数据。

对于高阶全数字锁相环,其数字滤波器常常采用基于DSP的运算电路。

这种结构的锁相环,当环路带宽很窄时,环路滤波器的实现将需要很大的电路量,这给专用集成电路的应用和片上系统SOC(systemonchip)的设计带来一定困难。

另一种类型的全数字锁相环是采用脉冲序列低通滤波计数电路作为环路滤波器,如随机徘徊序列滤波器、先N后M序列滤波器等。

这些电路通过对鉴相模块产生的相位误差脉冲进行计数运算,获得可控振荡器模块的振荡控制参数。

1.2国内外研究现状

1.2.1锁相环技术的产生背景

锁相环路诞生于20世纪30年代。

在40年代开始在电视接收技术中得到广泛应用。

此后空间技术的发展又极大的促进了锁相技术的发展,现已广泛应用于电子技术的各个领域。

随着数字电路技术的发展,数字锁相环在调制解调、频率合成、FM立体声解码、彩色副载波同步、图象处理等各个方面得到了广泛的应用。

数字锁相环不仅吸收了数字电路可靠性高、体积小、价格低等优点,还解决了模拟锁相环的直流零点漂移、器件饱和及易受电源和环境温度变化等缺点,此外还具有对离散样值的实时处理能力,已成为锁相技术发展的方向。

锁相环是一个相位反馈控制系统,在数字锁相环中,由于误差控制信号是离散的数字信号,而不是模拟电压,因而受控的输出电压的改变是离散的而不是连续的;此外,环路组成部件也全用数字电路实现,故而这种锁相环就称之为全数字锁相环(简称DPLL)。

近年来,锁相技术在通信、航天、测量、电视、原子能、电机控制等领域,能够高性能地完成信号的提取、信号的跟踪与同步,模拟和数字通信的调制与解调、频率合成、滤波等功能,已经成为电子设备中常用的基本部件之一。

特别是在数字通信的调制解调、位同步、频率合成中常常要用到各种各样的锁相环。

锁相环是一个相位误差控制系统,它比较输入信号和振荡器输出信号之间的相位差,从而产生误差控制信号来调整振荡器的频率,以达到与输入信号同频同相的目的。

锁相技术的核心是锁相环路,所谓的锁相环路是一个实现相位自动锁定的控制系统[1]。

1.2.2现状和发展

目前,已有单片集成全数字锁相环的商用产品,但作为某一个实际项目设计,需要的锁相电路特性不尽相同,有些现成的产品,不是成本高、体积大、资源浪费多,就是不能完全满足设计性能的要求。

根据位移检测的特点,采用高密度可编程逻辑器件,可根据实际要求,充分利用器件资源,同时把一些相关的数字电路组合在一起,不仅提高了系统的集成度和可靠性,降低了功耗,降低了成本,而且使电路性能得到明显改善[2]。

1.3论文研究内容

进行毕业设计之前,首先我们要学习VHDL语言,了解锁相环的基本原理,及其各种实现方案,然后通过VHDL语言设计程序来实现全数字锁相环。

再根据设计的程序实现各个模块,同时通过MAX+plusII软件进行仿真检验,应用EDA技术设计该系统,并用FPGA实现了其硬件电路。

仿真和硬件测试结果证实了该设计的正确性。

第2章设计总体规划

2.1整体方案

采用VHDL自上而下的设计方法,先根据所设计的思路划分模块,再就每个模块进行VHDL语言的程序设计,用MAX+PLUSII软件来测试并做仿真编译各个模块,再把整体联系起来用MAX+PLUSII软件进行总体仿真,从而实现系统总体功能。

正式做毕业设计之前,由于查找了很多资料,故对于全数字锁相环的各个环节进行了相应的了解。

数字锁相环的基本结构可分为三个模块:

数字鉴相器、数字环路滤波器和数控振荡器。

鉴相器是这样一种电路,它的输出信号与两个输入信号u1和u2的相位差相关,很多结构的电路都可以完成这种功能。

鉴相器有多种类型,比如乘法鉴相器,EXOR鉴相器(异或门),JK触发型鉴相器和鉴频/鉴相器(PFD)。

乘法鉴相器广泛应用于线性锁相环(LPLL)中,EXOR门鉴相器的工作原理与线性乘法器相似,DPLL数字锁相环的信号都是二进制信号,例如方波,我们假定信号u1,u2均为对称的方波,零误差时,即信号u1和u2的相位恰好相差90度,那么输出信号ud为一个方波,其频率等于参考信号频率的两倍,信号ud的占空比恰好是50%。

由于信号中的高频分量将被环路滤波器滤除,我们只需要考虑ud的平均值。

最终我们选择了由异或门组成的数字鉴相器,由变模可逆计数器(模数K在一定范围内可自由设置)组成的数字环路滤波器,由除N计数器、除H计数器和加减脉冲控制器三者组成的数控振荡器。

2.2模块划分

根据上面的描述以及考虑到设计中应有的功能,现将硬件锁相环电路划分为以下三大模块:

(1)鉴相器模块

(2)数字环路滤波器模块

(3)数控振荡器模块

锁相环组成框图如图2-1所示:

数字滤波器

数字鉴相器

相位误差序列

输入信号

相位校正序列

本地估算信号

数字压控振荡器

图2-1锁相环电路

2.3设计分工

本次课题的研究由我和刘琴同学共同完成,我们根据模块进行了分工。

将三个模块分为两部分,第一二模块为第一部分,第三模块为第二部分。

经过商量,我负责第一部分数字鉴相器和数字环路滤波器这两个模块,我的搭档刘琴同学负责第二部分数控振荡器模块,最后整体进行仿真验证时由我们两人一起完成。

2.4设计工具及设计语言

开发工具为MAX+plusII,设计语言为VHDL,MAX+plusII开发工具是美国Altera公司自行设计的一种CAE软件工具,其全称为MultipleArrayMatrixandProgrammableLogicUserSystem。

它具有原理图输入,文本输入(采用硬件描述语言)和波形图输入三种输入手段,利用该工具所配备的编辑、编译、仿真、综合、芯片、编程等功能,将设计电路或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如FPGA芯片),做成ASIC芯片。

目前MAX+plusII是市场上使用最广的开发工具软件之一,是一个功能强大、使用方便的设计工具。

VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。

VHDL主要用于描述数字系统的结构、行为、功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法十分类似于一般的计算机高级语言。

因为本次设计需要对设计工具和设计语言很熟悉,故在下一章将具体进行介绍。

第3章开发环境与开发语言具体介绍

3.1关于EDA的介绍

EDA是电子设计自动化(ElectronicDesignAutomation)的英文缩写,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言(HDL)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

这里的所谓EDA主要是指数字系统的自动化设计,因为这一领域的软硬件方面的技术已比较成熟,应用的普及程度也已经比较大。

而模拟电子系统的EDA正在进入实用,其初期的EDA工具不一定需要硬件描述语言。

典型的EDA工具中必须包含两个特殊的软件包(或其中之一),即综合器和适配器。

综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件结构组件,进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述文件。

EDA仿真测试技术只需通过计算机就能对所设计的电子系统从各种不同层次的系统性能、特点来完成一系列准确的测试与仿真操作,在完成实际系统的安装后还能对系统上的目标器件进行所谓边界扫描测试。

这一切都极大地提高了大规模系统电子设计自动化程度。

与单片机系统开发相比,利用EDA技术对FPGA/CPLD的开发,通常是一种借助于软件方式的纯硬件开发,因此可以通过这种途径进行所谓专用集成电路(ASIC)开发,而最终的ASIC芯片,可以是FPGA/CPLD,也可以是专制的门阵列掩模芯片,FPGA/CPLD只起到硬件仿真ASIC芯片的作用。

而利用计算机进行的单片机系统的开发,主要是软件开发,在这个过程中只需程序编译器就可以了。

电子设计自动化可分为三个不同的发展阶段:

第一代EDA技术是电子图板时期

早在60、70年代,随着新的技术革命时期的来临,计算技术发展的很快,同时电子设计进入了中小规模集成电路开发应用时期,电子系统产品设计从原来的分离元器件逐渐被越来越多的集成电路所代替,并且每片集成电路中所包含的元件从原来的几十、几百也逐渐增至几千以至上万。

需要和可能迫使电子设计工程师对二维平面图形开始用计算机进行辅助设计,代替繁杂、机械的手工设计。

这一时期的计算机辅助设计通常可称谓辅助制图,被形象的叫做电子图板。

第二代EDA技术是以电路辅助设计和仿真分析技术为核心,分支软件迅速发展时期。

可叫做辅助设计和仿真分析时期。

到了80年代初期,随着计算和微电子技术发展以及电子设计自动化技术自身发展的需要,像数字电路分析、模拟电路分析、混合电路分析、热分析、时序分析、失效分析、印刷电路板自动布线等电子设计自动化应用纷纷出现,使得多层印刷电路板、大规模、超大规模集成电路设计的自动化成为现实,同时,由于集成电路设计周期的缩短,设计费用的降低,使其按用户需要设计制造变成可能,因而又引出以半定制、全定制为特征的专用集成电路(ASIC)的概念,使集成电路制造在80年代中期又发生了一场革命性变化。

第三代EDA技术是集成综合概念设计时期

第三代EDA作为新一代EDA技术要解决系统层的描述,系统层的仿真和综合。

新一代EDA技术由于采用了统一的数据库,每一层次的设计工作直接为相邻的层次和工作提供了它的数据,并且可以随时更新和检验,这就使得本来要串行的工作变成了同时的工作。

同时工程实际上还包含了结构设计、模具设计和快速制作,使设计加工、制造、测试都能在计算机辅助设计下进行。

现代的EDA技术可以辅助电子设计的方方面面,可以处理系统电路,包括各种数字电路、模拟电路、数模混合电路的设计,可采用的手段也包括了集成电路、厚薄膜混合电路、多芯片模块、印刷电路板,可进行仿真分析等[3]。

3.2设计语言--VHDL

3.2.1VHDL简介

硬件描述语言(HardwareDescriptionLanguage,HDL),顾名思义,是电子系统硬件行为描述、结构描述、数据流描述的语言。

VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。

1987年底,VHDL被IEEE(TheInstituteofElectricalandElectronicsEngineers)和美国

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 初中教育

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1