数电课程设计四花样彩灯控制器.docx

上传人:b****4 文档编号:24324883 上传时间:2023-05-26 格式:DOCX 页数:21 大小:269.87KB
下载 相关 举报
数电课程设计四花样彩灯控制器.docx_第1页
第1页 / 共21页
数电课程设计四花样彩灯控制器.docx_第2页
第2页 / 共21页
数电课程设计四花样彩灯控制器.docx_第3页
第3页 / 共21页
数电课程设计四花样彩灯控制器.docx_第4页
第4页 / 共21页
数电课程设计四花样彩灯控制器.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

数电课程设计四花样彩灯控制器.docx

《数电课程设计四花样彩灯控制器.docx》由会员分享,可在线阅读,更多相关《数电课程设计四花样彩灯控制器.docx(21页珍藏版)》请在冰豆网上搜索。

数电课程设计四花样彩灯控制器.docx

数电课程设计四花样彩灯控制器

 

课程设计说明书

 

课程设计名称:

数字电路课程设计

课程设计题目:

四花样彩灯控制器

学院名称:

信息工程学院

专业:

通信工程班级:

110422

学号:

11042215:

陈粤龙

评分:

教师:

2013年9月23日

数字电路课程设计任务书

2012-2013学年第1学期 第19周-20周

题目

四花样彩灯控制

内容及要求

(1)彩灯一亮一灭,从左向右移动

(2)彩灯两亮两灭,从左向右移动

(3)四亮四灭,从左向右移动

(4)从1~8从左到右逐次点亮,然后逐次熄灭

(5)四种花样自动变换。

进度安排

1. 布置任务、查阅资料、选择方案、领仪器设备:

2天

2. 仿真、画PCB线路图:

2天

3. 领元器件、制作、焊接:

3天

4. 调试:

2天

5. 验收:

1天

6. 提交报告:

2013-2014学年第一学期 2-3周

学生姓名:

陈粤龙

指导时间:

2周

指导地点:

E610

任务下达

2013年9月22日

任务完成

2013年9月25日

考核方式

1.评阅□ 2.答辩□3.实际操作□ 4.其它□

指导教师

系(部)主任

注:

1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要

彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。

本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。

本次课程设计要设计一个四花样彩灯控制器。

首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。

可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。

根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。

要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。

时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。

当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。

经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。

关键字:

时钟脉冲分频自动转换控制器数据选择器

 

前言

彩灯控制器有着非常广泛的运用,如:

LED彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下:

随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。

LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。

但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。

优易LED全彩灯光控制系统由ColorEdit编辑软件、主控器、分控器和LED光源组成,广泛应用于城市景观、风景名胜、道路桥梁、建筑轮廓、娱乐场所、户外广告、室内装饰等美化、亮化工程。

二维彩灯控制器可控制五路彩灯逐行递增点亮,再逐行递减熄灭。

若将一定数量的彩色灯组合联接,就能营造出平面上色彩变化的场景,这比通常控制一条线上的色彩流动更加丰富绚丽。

控制器采用数字集成块,外围元器件少、电路结构简单,只要元器件完好、装接无误,装后无须调试即可一举成功。

音乐彩灯控制器是专用于卡拉ok厅KTV包房的彩灯控制设备,其最大优点是不与电视音响等设备有任何连接,本设备通过检测包房里的环境音频信号强弱来控制通过彩灯的电流大小(即亮暗程度)来烘托娱乐的兴趣的目的,也就是随着声音的大小而使彩灯闪烁,歌声和彩灯一起跳动,从而让唱歌人激情高涨,留连忘返。

本课题研究的是四花样彩灯控制器,应用的是数字逻辑电路的有关知识,是进行复杂设计的基础,对进行复杂彩灯设计具有指导意义。

 

第一章设计要求

1.1设计课题及要求

(一)题目:

四花样彩灯控制器

(二)基本要求:

设计一四花样自动切换的彩灯控制器,要求实现

(1)彩灯一亮一灭,从左向右移动;

(2)彩灯两亮两灭,从左向右移动;

(3)四亮四灭,从左向右移动;

(4)从1~8从左到右逐次点亮,然后逐次熄灭;

(5)四种花样自动变换。

(三)主要参考元器:

NE555定时器,四位二进制计数器74LS93,双D触发器74LS74,四选一数据选择器74LS153,八位移位寄存器74LS164。

 

第二章系统组成及工作原理

2.1系统组成框图

把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。

系统可由四个模块组成,它们分别是:

四种码产生电路、开关电路、数据输出、时钟电路。

设计框图如图2.1所示:

 

各模块的组成及功能分析

1.四种码产生电路:

由四位二进制计数器74LS93产生四种码输出。

2.自动转换控制电路:

由双D触发器74LS74和四选一数据选择器74LS153组成,双D的两输出端接数据选择器的地址输入端,它能产生两位循环二进制码,每改变一种状态,数据选择器选择一种码输出,使彩灯花样自动循环。

3.数据输出电路:

由八位移位寄存器74LS164和八个彩灯组成,选择输出的每一种码输入到寄存器的数据输入端,使码在寄存器的八个输出端自左向右移动,实现彩灯的花样。

4.时钟电路:

由两个555和电阻电容组成,构成两个多谐振荡器,一个周期为0.721秒,控制计数器和寄存器,另一个周期为14.01秒,控制双D触发器。

 

2.2工作原理分析

从多谐振荡器出来的脉冲信号分为两路:

一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。

调节多谐振荡器的滑动变阻器可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。

多谐振荡器、双D触发器、数据选择器共同组成一个电子开关。

多谐振荡器输出的计数脉冲经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。

这四个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA,QB,QC,QD四个分频信号。

其作用相当于一个受控的一刀四位的机械转换开关。

当双D触发器输出为“00”时,数据选择器输出10000000序列脉冲,为八分频信号,实现花样一;为“10”时,数据选择器输出11000000序列脉冲,为八分频信号,实现花样二;为“01”时数据选择器输出11110000序列脉冲,为八分频信号,实现花样三;为“11”时数据选择器输出1111111100000000序列脉冲,为十六分频信号,实现花样四。

调节开关电路的CP脉冲产生电路的电阻,可以改变开关的切换时间用以选择每种花样出现时间的长短。

数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。

移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路四花样自动循环切换的流水彩灯。

 

第三章单元电路设计与计算

3.1时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲:

图3.1.1用555定时器构成多谐振荡电路

其震荡周期为:

=0.7*(

+2*

)*

要用两个555产生两个时钟脉冲,一个控制74LS93组成的模十六计数器和八位移位寄存器,要能看到彩灯的流动,其周期设为1秒左右,电阻值和电容值可设为:

由公式(3-1)计算得:

T=0.721S

电路图如图3.1所示:

T=0.7*(

+

)*

+0.7*

*

=200*

*10*

图3.1时钟电路

另一个555产生的矩形脉冲控制彩灯的自动转换,其周期设为模十六计数器的20倍,改变R1、R2的阻值即可,可设为:

T=0.7*(

+

)*

+0.7*

*

=200*

*10*

3.2四种码产生电路

根据彩灯要实现的四花样,可确定移位寄存器输出的二进制码,即四种码产生电路要产生的码,如表3-1所示:

 

表3-1四种码

花样

状态要求

周期(位)

1

一亮一灭,从左向右移动

8

10000000

2

两亮两灭,从左向右移动

8

11000000

3

四亮四灭,从左向右移动

8

11110000

4

从1~8从00左到右逐次点亮,然后逐次熄灭

16

1111111100000000

 

要产生这四种码,可由十六进制计数器接组合逻辑门产生,十六进制计数器的真值表如表3-2所示

序号

原状态[S(t)]

Q4Q3Q2Q1

次态[N(t)]

Q4Q3Q2Q1

输出

Z1

Z2

Z3

Z4

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

0000

0001

0010

0011

0100

0101

0110

0111

1000

1001

1010

1011

1100

1101

1110

1111

0001

0010

0011

0100

0101

0110

0111

1000

1001

1010

1011

1100

1101

1110

1111

0000

0

0

0

0

0

0

0

1

0

0

0

0

0

0

0

1

1

0

0

0

0

0

0

1

1

0

0

0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

1

表3-2计数器真值表

由表3-2分析得:

Z1=Q3Q2Q1Z2=Q3Q2Z3=Q3Z4=Q4

所以四种码产生电路如图3.2所示

 

图3.2四种码产生电路

3.3彩灯自动转换控制电路

要实现彩灯四花样的自动转换,就要使四选一数据选择器74LS153循环地输出Z1、Z2、Z3、Z4,也就要使它的地址输入端输入四种状态并循环转变,可用双D触发器实现。

双D触发器的两个输出端Q1、Q2的状态方程为:

Q1n+1=Q2n(3—2)

Q2n+1=Q1n(3—3)

设双D触发器的初始状态Q1Q2=00,由Q1、Q2的状态方程式(3—2)和式(3—3)可得,Q1、Q2的状态变换顺序为00—>01—>11—>10—>00,实现四分频。

用双D触发器的输出端Q1、Q2控制选择器的地址输入端,使数据选择器自动选择一种码输出,实现彩灯花样的自动控制。

自动转换控制电路如图3.3所示:

图3.3自动控制电路

令Q1Q2=AB,74LS153数据选择器的功能表如表3-3所示:

表3-3数据选择器功能表

B(Q2)

A(Q1)

1Y

0

1

1

0

0

0

0

1

1

0

Z1

Z3

Z4

Z2

Z1

 

由表3-3可知,数据选择器的地址输入端A、B循环转变,输出端1Y循环选择四种码Z1、Z2、Z3、Z4输出,使彩灯的四花样自动循环改变。

 

3.4花样输出电路设计

输出电路由八位移位寄存器74LS164、八个彩灯和八个驱动电阻构成。

寄存器的数据输入端接收开关电路输出的四种码,这四种码在移位寄存器的八位并行输出端从QA向QH移动,输出四种彩灯花样。

当输入移位寄存器数据输入端的码为10000000时,清零后在移位脉冲CP的作用下,寄存器数码移动情况如表4-4所示:

表3-4寄存器数码移动情况表

CP

Ri

QA

QB

QC

QD

QE

QF

QG

QH

1

1

1

0

0

0

0

0

0

0

2

0

0

1

0

0

0

0

0

0

3

0

0

0

1

0

0

0

0

0

4

0

0

0

0

1

0

0

0

0

5

0

0

0

0

0

1

0

0

0

6

0

0

0

0

0

0

1

0

0

7

0

0

0

0

0

0

0

1

0

8

0

0

0

0

0

0

0

0

1

由表3-4可看出,输入码中的那位高电平“1”从寄存器的输出端QA经八个移位脉冲CP作用后逐渐到了QH,使输出端所连接的彩灯依次点亮,实现了彩灯依次点亮的花样。

当输入另外的三种码时,寄存器的数码移动原理相似。

 

3.5各芯片管脚图

3.5.1、由74LS93组成的模十六进制计数器,芯片管脚图如图3.5.1所示:

图4.5.174LS93管脚图

3.5.2、双四选一数据选择器74LS153,芯片管脚图如图4.5.2所示:

 

图3.5.274LS153管脚图

3.5.3、8位移位寄存器74LS164,芯片管脚图如图3.5.3所示

 

图3.5.374LS164管脚图

3.5.4、NE555定时器

第四章实验、调试及测试结果分析

4.1结果的调试及分析

1.调试使用的主要仪器:

数字万用表、直流稳压电源、示波器、函数信号发生器。

2.测试电路的方法和技巧:

先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。

3.调试中出现的故障、原因及排除方法:

(1)彩灯只有一种花样变化,没有其它的花样:

原因可能是双D触发器74LS74不能产生周期性的两位二进制码或是555不能产生触发双D触发器的CP脉冲,使双D触发器维持在一种状态。

可换一片好的74LS74芯片或检查555CP脉冲产生电路,看是否产生周期性的CP脉冲,使双D触发器产生四种循环的状态,彩灯就能四花样变化。

(2)彩灯无规律变化:

原因可能是四种码的产生电路不能产生符合要求的四种码,检查模十六计数器的CP脉冲是否稳定,看74LS161是否能计数,即QA、QB、QC、QD是否周期性的高低电平变化,前面没问题,再检查与门74LS08和非门74LS04是否能实现它们逻辑功能。

(3)彩灯的四种花样都有,但中间有一些混乱的状态:

原因可能是数据选择器输出的两种码之间的间隔大于彩灯每一种状态持续的时间,使彩灯的一种花样完成后并没有进入另一种状态,当进入另一种状态时上一种状态的多余码进入下一个状态,故出现了混乱的状态。

可改变双D触发器的CP脉冲,即改变多谐振荡器的电阻,使得一种花样完成后,数据选择器地址输入端状态改变,正好选择另一组码输出,彩灯花样改变。

结论

本次所设计的是花样彩灯控制器,该控制器的设计主要结合了大二所学的低频电子线路及脉冲与数字电路课程。

课程设计这门课需要严谨的科学态度和完整的设计思维和方法。

设计电路关键在于对设计要求的理解分析以及对基本电路相关知识的熟练掌握。

设计电路时,将总体的功能分成若干个部分来实现,是简化电路设计思路的很好方法;且搞清各个模块的功能与实现要求操作的具体方法,对电路故障的检查也是很有帮助。

通过这次设计,学到了很多东西,如查找资料,设计比较,从各种图中提取所需。

焊接时出学到了好多,如如何在一定大小的板子上正确摆放好芯片,如何布线等等,调试时也是,知道了用工具如万用表来检查、修复故障。

本次课设算是成功的,让作者学到很多,包括焊接技术。

也吸取了很多教训。

真正提高了动手能力,学会获取资料,活跃了自己的思维,巩固了所学知识。

花样彩灯控制器的设计是对数字电路逻辑设计知识的实践运用,加深了对知识的理解。

 

参考文献

[1]康华光.数字电子技术.高等教育出版社,2002

[2]雷勇.电工电子技术实验.:

四川大学出版社,2002

[3]王毓银.数字电路逻辑设.:

高等教育出版社,2006

[4]《中国集成电路大全》编写委员会.中国集成电路大全《TTL集成电路》.:

国防工业出版社,1985

[5]陈先龙.电子技术基础实验.:

国防工业出版社,2006

[6]宋万年.模拟数字电路实验.:

复旦大学出版社,2004

[7]温飞兵.电子技术实践教程.:

长沙国防科技大学,2003

[8]何小艇.电子系统设计.:

浙江大学出版社,2001

 

附录1花样彩灯控制器原理总图

 

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1