EDA课程设计+数字钟课程设计Word文件下载.docx

上传人:b****6 文档编号:22004013 上传时间:2023-02-02 格式:DOCX 页数:26 大小:343.23KB
下载 相关 举报
EDA课程设计+数字钟课程设计Word文件下载.docx_第1页
第1页 / 共26页
EDA课程设计+数字钟课程设计Word文件下载.docx_第2页
第2页 / 共26页
EDA课程设计+数字钟课程设计Word文件下载.docx_第3页
第3页 / 共26页
EDA课程设计+数字钟课程设计Word文件下载.docx_第4页
第4页 / 共26页
EDA课程设计+数字钟课程设计Word文件下载.docx_第5页
第5页 / 共26页
点击查看更多>>
下载资源
资源描述

EDA课程设计+数字钟课程设计Word文件下载.docx

《EDA课程设计+数字钟课程设计Word文件下载.docx》由会员分享,可在线阅读,更多相关《EDA课程设计+数字钟课程设计Word文件下载.docx(26页珍藏版)》请在冰豆网上搜索。

EDA课程设计+数字钟课程设计Word文件下载.docx

2.利用课余时间去图书馆或上网查阅课题相关资料,深入理解课题含义及设计要求,注意材料收集与整理;

3.在第15周末之前完成预设计,并请指导教师审查,通过后方可进行下一步工作;

4.结束后,及时提交设计报告(含纸质稿、电子稿),要求格式规范、内容完整、结论正确,正文字数不少于3000字(不含代码)。

工作进度计划

序号

起止日期

工作内容

1

在预设计的基础上,进一步查阅资料,完善设计方案。

2

设计总体方案,构建、绘制流程框图,编写代码,上机调试。

3

测试程序,完善功能,撰写设计报告。

4

参加答辩,根据教师反馈意见,修改、完善设计报告。

指导教师(签章):

年月日

摘要:

EDA技术在硬件实现方面融合了大规模集成电路制造技术,IC版图设计技术、ASIC测试与封装技术、FPGA/CPLD编程下载技术、自动检测技术等;

在计算机辅助工程方面融合了计算机辅助技术(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;

而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等等。

因此EDA技术为现代电子理论和设计的表达与实现提供了可能性。

在现代技术的所有领域中,纵观许多得以飞速发展的科学技术,多为计算机辅助设计,而非自动化设计。

显然,最早进入设计自动化的技术领域之一是电子技术,这就是为什么电子技术始终处于所有科学技术发展最前列的原因之一。

不难理解,EDA技术已不是某一学科的分支,或某种新的技能技术,应该是一门综合性学科。

它融合多学科于一体,打破了软件和硬件间的壁垒,是计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术合应用激活速的发展方向。

数字钟以成为人们常生活中数字电子钟一般由振荡器,分频器,译码器,显示器等部分组成。

数字钟的应用非常广泛,应用于人家庭以及车站。

码头。

剧场,办公室等公共场所,给人们的生活,学习,工作,娱乐带来极大的方便,由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确,性能稳定,携带方便等特点,它还用于计时,自动报时及自动控制等各个领域。

尽管目前市场上以有现成数字钟集成电路芯片,价格便宜这些都是数字电路中最基本的,应用最广的电路。

数字电子钟的基本逻辑功能框图如下:

它是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

他的计时装置的周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能。

关键词:

EDAVHDL语言数字钟计时器

目次

1、数字钟的综合概述4

2、数字频率计的设计要求和结构4

3、数字钟模块设计5

秒计时器5

分计时器6

时计时器6

星期计时器7

报时模块8

分频模块8

译码模块9

4、数字钟系统设计11

5、数字钟仿真调试过程13

秒计时器13

时计时器14

报时模块14

系统总调试14

总结16

致谢17

参考文献18

指导教师评语19

1、数字钟的综合概述

人类社会已进入到高度发达的信息化社会。

信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。

电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。

实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,ElectronicsDesignAutomation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。

为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。

目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。

数字钟的设计方法有许多种,例如,可用中小规模集成电路组成电子钟;

也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;

还可以利用单片机/FPGA来实现电子钟等等。

这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,并便于功能的扩展。

2、 

数字钟的设计要求和结构

该数字钟可以实现3个功能:

计时功能、整点报时功能和重置时间功能,因此有3个子模块:

计时、报时(alarm1)、重置时间(s1、m1、h1、d1)。

其中计时模块有4部分构成:

秒计时器(second1)、分计时器(minute1)和时计时器(hour1)

秒计时器(second1)是由一个60进制的计数器构成的,具有清0、置数和计数功能。

其中reset为清0信号,当reset为0时,秒计时器清0;

set为置数信号,当set为0时,秒计时器置数,置s1的值。

clk为驱动秒计时器的时钟,sec为秒计时器的输出,ensec为秒计时器的进位信号,作为下一级的时钟输入信号。

分计时器(minute1)是由一个60进制的计数器构成的,具有清0、置数和计数功能。

其中reset为清0信号,当reset为0时,分计时器清0;

set为置数信号,当set为0时,分计时器置数,置m1的值。

clkm为驱动分计时器工作的时钟,与ensec相连接;

min为分计时器的输出;

enmin为分计时器的进位信号,作为下一级的时钟输入信号。

时计时器(hour1)是由一个24进制的计数器构成的,具有清0、置数和计数功能。

其中reset为清0信号,当reset为0时,时计时器清0;

set为置数信号,当set为0时,时计时器置数,置h1的值。

clkh为驱动时计时器工作的时钟,与enmin相连接;

hour为时计时器的输出;

enhour为时计时器的进位信号,作为下一级的时钟输入信号。

报时模块(alarm1)的功能是当整点(将min作为该模块的输入信号,min=00)时,alarm输出高电平,并且持续1分钟。

数字钟的工作原理图如下所示:

“EDA课程设计论文-数字钟-仿真波形”图1

3、 

数字钟模块设计

秒计时器(second1)

Libraryieee;

Usesecond1is

Port(clks,reset:

instd_logic;

Sec1,sec2:

bufferstd_logic_vector(3downto0);

--秒输出端

Ensec:

outstd_logic);

--秒计时器的进位,用来驱动分计时器

End;

Architectureaofsecond1is

Begin

Process(clks,reset)

Ifreset='

0'

then

Sec1<

="

0000"

;

Sec2<

ensec<

='

--对秒计时器清0

Elsifclks'

eventandclks='

1'

then

if(sec1="

1001"

andsec2="

0101"

)then

Sec1<

Sec2<

ensec<

--重复计数并产生进位

elsif(sec1="

)then

Sec2<

=sec2+1;

ensec<

else

sec1<

=sec1+1;

--以驱动下一级

endif;

endif;

Endprocess;

 

分计时器(minute1)

Useminute1is

Port(clkm,reset:

Min1,Min2:

Enmin:

Architectureaofminute1is

Process(clkm,reset)

Min1<

Min2<

enmin<

--对计时器清0

Elsifclkm'

eventandclkm='

if(Min1="

andMin2="

Min1<

elsif(Min1="

Min2<

=Min2+1;

enmin<

min1<

=min1+1;

enmin<

endif;

时计时器(hour1)

Usehour1is

Port(clkh,reset:

Architectureaofhour1is

Process(clkh,reset)

hou1<

hou2<

--对时计时器清0

Elsifclkh'

eventandclkh='

if(hou1="

0011"

andhou2="

0010"

hou2<

--重复计数

elsif(hou1="

=hou2+1;

hou1<

=hou1+1;

星期计时器(day1)

Useday1is

Port(clkd,set,reset:

d1:

instd_logic_vector(2downto0);

――置数端(星期)

day:

bufferstd_logic_vector(2downto0));

――星期输出端

end;

Architectureaofday1is

Process(clkd,reset,set,d1)

Ifreset='

thenday<

000"

――对星期计时器清0

Elsifset='

=d1;

――对星期计时器置d1的数

Elsifclkd'

eventandclkd='

Ifday=6thenday<

――重复计数 

Elseday<

=day+1;

Endif;

报时模块(alarm1)

Usealarm1is

Port(reset:

Min1,min2:

instd_logic_vector(3downto0);

Alarm:

--输出的报时信号

Architectureaofalarm1is

Alarm<

whenmin1="

andmin2="

andreset='

else'

--当分为0且清0

--信号无效时,输出高电平并持续至分不为0

分频模块

libraryIEEE;

usejhgjghis

port(clk,reset:

q:

endjhgjgh;

architectureBehavioralofjhgjghis

signalcnt:

integer:

=0;

signalclks:

std_logic:

begin

process(clk,reset)

ifreset='

clks<

='

elsif(clk'

eventandclk='

)then

ifcnt=then

cnt<

clks<

=not(clks);

cnt<

=cnt+1;

clks<

=clks;

endprocess;

q<

endBehavioral;

译码模块

Useyimais

Port(sec1,sec2,min1,min2,hou1,hou2:

instd_logic_vector(3downto0);

s1,s2,m1,m2,h1,h2:

outstd_logic_vector(6downto0));

Architectureaaaaofyimais

Process(sec1)

Casesec1is

when"

=>

s1<

"

0001"

0100"

0110"

0111"

1000"

whenothers=>

XXXXXXX"

endcase;

Process(sec2)

Casesec2is

s2<

Process(min1)

Casemin1is

m1<

Process(min2)

Casemin2is

m2<

Process(hou1)

Casehou1is

h1<

Process(hou2)

Casehou2is

h2<

4、数字钟系统设计

将上述5个程序作为底层文件,存放在同一个文件夹中,然后按下面的图将这几个文件连接起来,并用元件例化语句编写顶层文件的程序,如下:

“EDA课程设计论文-数字钟-仿真波形”图2

Usetopclockis

Port(clk,reset:

outstd_logic;

Sec1,sec2,min1,min2,hou1,hou2:

s1,s2,m1,m2,h1,h2:

Architectureoneoftopclockis

Componentsecond1--秒元件的例化

Port(clks,reset:

instd_logic;

EndComponent;

Componentminute1--分元件的例化

Port(clkm,reset:

min1,min2:

Componenthour1--时元件的例化

hou1,hou2:

bufferstd_logic_vector(3downto0));

Componentyima--星期元件的例化

Componentalarm1--报时元件的例化

min1,min2:

alarm:

Componentjhgjgh

q:

signalenm,enh,enk:

std_logic;

--秒分、分时、时星期之间的连接信号

uo:

jhgjghportmap(reset=>

reset,clk=>

clk,q=>

enk);

u1:

second1portmap(reset=>

reset,

sec1=>

sec1,sec2=>

sec2,clks=>

enk,ensec=>

enm);

u2:

minute1portmap(reset=>

min1=>

min1,min2=>

min2,clkm=>

enm,enmin=>

enh);

u3:

hour1portmap(reset=>

hou1=>

hou1,hou2=>

hou2,clkh=>

u4:

yimaportmap(sec1=>

s

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1