专科电子计数器Word文档下载推荐.docx

上传人:b****5 文档编号:20195426 上传时间:2023-01-17 格式:DOCX 页数:17 大小:292.95KB
下载 相关 举报
专科电子计数器Word文档下载推荐.docx_第1页
第1页 / 共17页
专科电子计数器Word文档下载推荐.docx_第2页
第2页 / 共17页
专科电子计数器Word文档下载推荐.docx_第3页
第3页 / 共17页
专科电子计数器Word文档下载推荐.docx_第4页
第4页 / 共17页
专科电子计数器Word文档下载推荐.docx_第5页
第5页 / 共17页
点击查看更多>>
下载资源
资源描述

专科电子计数器Word文档下载推荐.docx

《专科电子计数器Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《专科电子计数器Word文档下载推荐.docx(17页珍藏版)》请在冰豆网上搜索。

专科电子计数器Word文档下载推荐.docx

1.1.2“自顶向下”的设计方法2

1.1.3ASIC设计3

1.1.4系统框架结构3

1.2EDA技术的基本设计方法4

1.2.1电路级设计4

1.2.2系统级设计6

1.3EDA技术的主要内容7

第二章VHDL8

2.1VHDL的简介8

2.1.1硬件描述语言8

2.2VHDL语言的特点9

2.3VHDL的设计流程9

第三章EDA电子计数器的设计11

3.1电子计数器的简介、分类及原理11

3.1.1电子计数器简介11

3.1.2电子计数器的分类11

3.1.3工作原理和基本功能12

3.2EDA电子计数器的设计12

3.2.1程序的设计12

第四章系统仿真15

4.1仿真波形15

4.2下载测试16

4.3实验结果分析17

第五章总结19致谢21

参考文献22

前言

科技高度发展的今天,集成电路和计算机应用得到了高速发展。

尤其是计算机应用的发展。

它在人们日常生活已逐渐崭露头角。

大多数电子产品多是由计算机电路组成,我们需要精确的计数,计数器在很多领域扮演着重要的角色。

本文就是基于计算机电路的时钟脉冲信号和EDA技术等原理设计出的电子技术器。

利用VHDL语言设计基于计算机电路中时钟脉冲原理的电子计数器。

EDA技术在计算机应用中得了广泛的应用,EDA技术开发手段多样,其中应用最为广泛的就是通过程序对硬件进行开发,而其中又数VHDL语言最受设计者的欢迎。

EDA技术设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。

EDA技术是现代各种高新技术和理论发展的必然结果。

它的出现,标志着人类在微电子领域取得了重大突破。

EDA技术极大促进了信息技术的发展,加快了人类社会信息化的进程。

有专家预言,21世纪将是EDA技术快速发展的时期,它将成为对本世纪产生重大影响的十大科学技术之一。

该计数器能对0~999范围进行计数,显示最大数字是999。

计数精度达到1。

设计了清零开关,方便重新计数。

本设计利用EDA技术设计电子计数器,通过设计提高对EDA工具掌握的熟练程度。

第一章EDA技术以及发展概述

1.1EDA技术的发展

回顾近30年电子设计技术的发展历程,可将EDA技术分为三个阶段。

七十年代为CAD阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作,产生了计算机辅助设计的概念。

八十年代为CAE阶段,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计,这就是计算机辅助工程的概念。

CAE的主要功能是:

原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

九十年代为EDA阶段,尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的设计工作中彻底解放出来。

在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。

基于以上不足,人们开始追求:

贯彻整个设计过程的自动化,这就是EDA即电子系统设计自动化。

1.1.1EDA技术的基本特征

EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:

设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。

这样的设计方法被称为高层次的电子设计方法。

1.1.2“自顶向下”的设计方法

10年前,电子设计的基本思路还是选择标准集成电路“自底向上”(Bottom–Up)的构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。

高层次设计给我们提供了一种“自顶向下”(Top–Down)的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。

在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。

然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

由于设计的主要仿真和调试过程是在高层次上完成的,这一方面有利于早期发现结构设计上的错误,避免设计工作的浪费,同时也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

1.1.3ASIC设计

现代电子产品的复杂度日益加深,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(ApplicationSpecificIntegratedCircuits)芯片进行设计。

ASIC按照设计方法的不同可分为:

全定制ASIC,半定制ASIC,可编程ASIC(也称为可编程逻辑器件)。

设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家掩膜制造完成。

优点是:

芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。

缺点是:

开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法有所不同,分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。

可编程逻辑芯片与上述掩膜ASIC的不同之处在于:

设计人员完成版图设计后,在实验室内就可以烧制出自己的芯片,无须IC厂家的参与,大大缩短了开发周期。

可编程逻辑器件自七十年代以来,经历了PAL、GAL、CPLD、FPGA几个发展阶段,其中CPLD/FPGA属高密度可编程逻辑器件,目前集成度已高达200万门/片,它将掩膜ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易的转由掩膜ASIC实现,因此开发风险也大为降低。

上述ASIC芯片,尤其是CPLD/FPGA器件,已成为现代高层次电子设计方法的实现载体。

1.1.4系统框架结构

EDA系统框架结构(Framework)是一套配置和使用EDA软件包的规范,目前主要的EDA系统都建立了框架结构,如Cadence公司的DesignFramework,Mentor公司的FalconFramework,而且这些框架结构都遵守国际CFI组织(CADFrameworkInitiative)制定的统一技术标准。

Framework能将来自不同EDA厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下,而且还支持任务之间、设计师之间以及整个产品开发过程中信息的传输与共享,是并行工程和Top–Down设计方法的实现基础。

1.2EDA技术的基本设计方法

EDA技术的每一次进步,都引起了设计层次上的一个飞跃,可以用图1—1说明:

图1—1EDA技术设计层次的变化

物理级设计主要指IC版图设计,一般由半导体厂家完成,对电子工程师没有太大的意义,因此本文重点介绍电路级设计和系统级设计。

1.2.1电路级设计

电路级设计工作流程如图1—2所示,电子工程师接受系统设计任务后,首先确定设计方案,同时要选择能实现该方案的合适元器件,根据具体的元器件设计电路原理图。

接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析,模拟电路的交直流分析、瞬态分析。

系统在进行仿真时,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。

这一次仿真主要是检验设计方案在功能方面的正确性。

仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。

在制作PCB板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分析等,并且可以将分析后的结果参数反回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检验PCB板在实际工作环境中的可行性。

由此可见,电路级的EDA技术使电子工程师在实际的电子系统产生前,就可以全面的了解系统的功能特性核物理特性,从而将开发风险消灭在设计阶段,缩短了开发时间,降低了开发成本。

图1—2电路级设计工作流程

图1—3系统级设计工作流程

1.2.2系统级设计

进入90年代以来,电子信息类产品的开发明显出现两个特点:

一是产品的复杂程度加深;

二是产品的上市时限紧迫,然而电路级设计本质上是基于门级描述的单层次设计,设计的所有工作(包括设计输入,仿真和分析,设计修改等)都是在基本逻辑门这一层次上进行的,显然这种设计方法不能适应新的形势,为此引入了一种高层次的电子设计方法,也称为系统级的设计方法。

高层次设计是一种“概念驱动式”设计,设计人员无须通过门级原理图描述电路,而是针对设计目标进行功能描述,由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性的方案与概念构思上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。

这样,新的概念得以迅速有效的成为产品,大大缩短了产品的研制周期。

不仅如此,高层次设计只是定义系统的行为特性,可以不涉及实现工艺,在厂家综合库的支持下,利用综合优化工具可以将高层次描述转换成针对某种工艺优化的网表,工艺转化变得轻松容易。

高层次设计步骤如下:

第一步:

按照“自顶向下”的设计方法进行系统划分。

第二步:

输入VHDL代码,这是高层次设计中最为普遍的输入方式。

此外,还可以采用图形输入方式(框图,状态图等),这种输入方式具有直观、容易理解的优点。

第三步:

将以上的设计输入编译成标准的VHDL文件。

对于大型设计,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要花费数小时,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。

第四步:

利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次描述转化硬件电路的关键步骤。

综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库支持下才能完成。

综合后,可利用产生的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,是较为粗略的,一般的设计,这一仿真步骤也可略去。

第五步:

利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化、布局布线。

适配完成后,产生多项设计结果:

①适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;

②适配后的仿真模型;

③器件编程文件。

根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到器件的实际硬件特性(如时延特性),所以仿真结果能比较精确的预期未来芯片的实际性能。

如果仿真结果达不到设计要求,就需要修改VHDL源代码或选择不同速度品质的器件,直至满足设计要求。

第六步:

  将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。

如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由ASIC形式实现。

1.3EDA技术的主要内容

EDA技术涉及面广,内容丰富,从教学和实用的角度看,究竟应掌握些什么内容呢?

作者认为,主要应掌握如下四个方面的内容:

①大规模可编程逻辑器件;

②硬件描述语言;

③软件开发工具;

④实验开发系统。

其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化合自动化设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。

第二章VHDL

2.1VHDL的简介

2.1.1硬件描述语言

  硬件描述语言(HDL—HardwareDescriptionLanguage)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。

例如一个32位的加法器,利用图形输入软件需要输入500至1000个门,而利用VHDL语言只需要书写一行A=B+C即可,而且VHDL语言可读性强,易于修改和发现错误。

早期的硬件描述语言,如ABEL–HDL、AHDL,由不同的EDA厂商开发,互不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。

为了克服以上不足,1985年美国国防部正式推出了VHDL(VeryHighSpeedICHardwareDescriptionLanguage)语言,1987年IEEE采纳VHDL为硬件描述语言标准(IEEESTD-1076)。

  VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。

VHDL还具有以下优点:

  

(1)VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。

(2)VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用。

(3)VHDL的设计不依赖于特定的器件,方便了工艺的转换。

(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。

除此之外VHDL语言是一种用于电路设计的高级语言。

它在80年代的后期出现。

最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。

但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为AI/IEEE的标准(IEEESTD1076-1987)。

1993年更进一步修订,变得更加完备,成为AI/IEEE的AI/IEEESTD1076-1993标准。

目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。

自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

2.2VHDL语言的特点

VHDL的程序结构特点是将一项工程设计,关于用VHDL和原理图输入进行CPLD/FPGA设计的粗略比较:

在设计中,如果采用原理图输入的设计方式是比较直观的。

你要设计的是什么,你就直接从库中调出来用就行了。

这样比较符合人们的习惯。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

应用VHDL进行工程设计的优点是多方面的。

(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。

强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。

符合市场需求的大规模,系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

2.3VHDL的设计流程

图2-1自顶向下的设计流程

系统设计流程采用自上至下的设计方法,大致分为三个层次对系统硬件进行设计:

  第一层次是行为描述。

所谓行为描述就是对整个系统的数学模型的描述。

目的是试图在系统设计的初级阶段通过对系统行为描述的仿真来发现设计中存在的问题。

  第二层次是RTL方式描述。

即寄存器传输描述(数据流描述)。

要想得到硬件的具体实现,必须将行为方式描述的语言(VHDL)程序改写为RTL方式描述得语言。

即系统采用RTL方式描述,才能导出系统的逻辑表达式从而进行逻辑综合。

  第三层次是逻辑综合。

利用逻辑综合工具,将RTL方式描述的程序转化成用基本逻辑元件表示的文件(门级网络表)。

逻辑综合的结果相当于在人工设计硬件电路时,根据系统要求画出的系统的逻辑电原理图。

之后对逻辑综合结果在门电路级上再进行仿真,并检查定时关系。

若一切正常,系统的硬件设计就结束。

  自上而下的硬件电路设计过程,设计过程包含三个层次的仿真:

行为级仿真、RTL级仿真和门级仿真,每一步进行仿真检查,这些仿真奠定了系统的正确性、定时性和可行性,从而检验程序的正确性、合理性和优化性。

这样就能尽早发现设计中的问题,从而大大缩短系统硬件的设计周期。

第三章EDA电子计数器的设计

3.1电子计数器的简介、分类及原理

3.1.1电子计数器简介

电子计数器,是利用数字电路技术输出给定时间内所通过的的脉冲数并显示计数结果的数字化仪器。

根据计数脉冲的输入方式不同可分同步计数器,异步计数器。

其中同步计数中构成计数器的所有触发器在同一个时刻进行翻转,一般来讲,时钟输入端全连在一起;

异步计数器极构成计数器的触发器的时钟输入CP没有连在一起,各触发器不在同一时刻变化。

一般来讲,同步计数器较异步计数器具有更高的速度。

根据按照输出的基数进制不同又可分:

二进制计数器,十进制计数器,任意进制计数器。

根据计数过程中计数的增减不同分;

加法计数器,减法计数器,可逆计数器。

计数器不仅用于计数,还可以用于分频,定时等,是时序电路中使用最广的一种。

3.1.2电子计数器的分类

电子计数器按功能可分4类:

1、通用计数器:

可测频率、周期、多周期平均、时间间隔、频率比和累计等。

2、频率计数器:

专门用于测量高频和微波频率计数器。

3、计算计数器:

具有计算功能的计数器,可进行数学运算,可用程序控制进行测量计算和显示等全部工作过程。

4、微波计数器:

是以通用计数器和频率计数器为主配以侧品扩展器而组成的微波频率计。

3.1.3工作原理和基本功能

图3—1电子计数器结构图

图为电子计数器的基本结构。

由B通道输入频率为fB的经整形的信号控制闸门电路,即以一个脉冲开门,以随后的一个脉冲关门。

两脉冲的时间间隔(TB)为开门时间。

由A通道输入经整形的频率为 

fA的脉冲群在开门时间内通过闸门,使计数器计数,所计之数N=fA·

TB。

对A、B通道作某些选择,电子计数器可具有以下三种基本功能。

  

(1) 频率测量:

被测信号从A通道输入,若TB为1秒,则读数N 

即为以赫为单位的频率fA。

由晶体振荡器输出的标准频率信号经时基电路适当分频后形成闸门时间信号而确定TB之值。

  

(2) 周期或时间间隔测量:

被测信号由B信道输入,控制闸门电路,而A通路的输入信号是由时基电路提供的时钟脉冲信号。

计数器计入之数为闸门开放时间,亦即被测信号的周期或时间间隔。

(3) 累加计数:

由人工触发开放闸门,计数器对A通道信号进行累加计数。

在这些功能的基础上再增加某些辅助电路或装置,计数器还可完成多周期平均、时间间隔平均、频率比值和频率扩展等功能。

电子计数器性能指标主要包括:

频率、周期、时间间隔测量范围、输入特性(灵敏度、输入阻抗和波形)、精度、分辨度和误差(计数误差、时基误差和触发误差)等。

3.2EDA电子计数器的设计

3.2.1程序的设计

程序设计基于PCQuartus5.0软件和GW48EDA实验仿真箱。

该计数器能对0到999的外来信号进行计数,并设置了清零端,以便重新计数。

电子计数器程序:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityjspis

port(clk,reset:

instd_logic;

jin1,jin2:

bufferstd_logic;

temp1,temp2,temp3:

bufferstd_logic_vector(3downto0));

endjsp;

architectureoneofjsqis

begin

process(clk,reset)

ifreset='

1'

thentemp1<

="

0000"

;

---当reset为1时,temp1为零;

elsif(clk'

eventandclk='

)then---判断是否有上升沿;

if(temp1=9)then---如果temp等于9时

temp1<

jin1<

='

---temp1为零,进位jin1为1;

elsetemp1<

=temp1+1;

0'

---否则temp1加1,进位jin1为零;

process(jin2,reset)---把jin2与reset作为敏感信号;

begin

thentemp3<

---如果reset为1时,temp3为零;

elsif(jin2'

eventandjin2='

)then

iftemp3=9thentemp3<

---如果temp3为9时,temp3为零;

elsetemp3<

=temp3+1;

---否则temp3自加1;

endif;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 政史地

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1