Cadence教程D422Word文件下载.docx

上传人:b****6 文档编号:20004742 上传时间:2023-01-14 格式:DOCX 页数:20 大小:3.22MB
下载 相关 举报
Cadence教程D422Word文件下载.docx_第1页
第1页 / 共20页
Cadence教程D422Word文件下载.docx_第2页
第2页 / 共20页
Cadence教程D422Word文件下载.docx_第3页
第3页 / 共20页
Cadence教程D422Word文件下载.docx_第4页
第4页 / 共20页
Cadence教程D422Word文件下载.docx_第5页
第5页 / 共20页
点击查看更多>>
下载资源
资源描述

Cadence教程D422Word文件下载.docx

《Cadence教程D422Word文件下载.docx》由会员分享,可在线阅读,更多相关《Cadence教程D422Word文件下载.docx(20页珍藏版)》请在冰豆网上搜索。

Cadence教程D422Word文件下载.docx

LibraryManager,出现下图窗口:

在图中窗口中依次打开:

File->

New->

Library,出现下图窗口:

输入LibraryName:

test,然后点OK。

(注意下面的保存路径就是你第一步建立的文件夹)出现下一个窗口:

选中Attachtoanexistingtechfile,然后OK。

出现下一个窗口:

选中右下方TechnologyLibrary:

chrt35dg_SiGe,然后OK。

下面我们来画一个nand2电路。

选中左侧的test,在LibraryManager窗口,File->

Cellview,如下图:

在弹出的“CreateNewFile”窗口CellName栏中,nand2

Tool栏中,选Composer-Schematic

OK,如下图

[3]添加元件(实例instance)

在弹出的“VirtuosoSchematicEditing:

…”窗口中,左边为工具栏,选instance图标(或i)

单击“Addinstance”窗口Library栏最右侧Browser,

弹出“LibraryBrowser-…”窗口,Library选chrt35dg_SiGe,Cell选nmos_3p3,View选symbol

鼠标回到“Addinstance”窗口,Modelname栏:

nmos_3p3,width(TotalWidth):

3.0u,Length:

0.5u,Hide如下图

在“VirtuosoSchematicEditing:

…”窗口,鼠标左键单击一次,间隔一定距离再单击一次,这样就增加了2个nmos元件,ESC(试一试:

先选中一个元件,再q,查看/改变属性)。

仿照上述方法,增加pmos元件。

在“Addinstance”窗口,Modelname栏:

pmos_3p3,

width:

2.0u,Length:

0.5u;

放置2个pmos,ESC。

(没事就ESC,养成勤按ESC的好习惯。

[4]添加管脚(PIN)

选“VirtuosoSchematicEditing:

…”窗口左边工具栏中的Pin图标(或p)

在“AddPin”窗口中,PinNames:

INAINB,Direction选input,Usage选schematic,Hide

…”窗口,鼠标左键单击一次,间隔一定距离再单击一次,放置2个输入PIN。

p,在“AddPin”窗口中,PinNames:

OUT,Direction选output,Usage选schematic,在“VirtuosoSchematicEditing:

…”窗口放置输出PIN,AttachNetExpression:

No如下图:

[5]添加电源和地:

i(或单击instance工具图标)

弹出“Addinstance”窗口,Browse,Library选analogLib,Cell栏:

vdd,View选symbol

…”窗口放置vdd,

回到“Addinstance”窗口,Browse,Cell栏:

gnd,在“VirtuosoSchematicEditing:

…”窗口放置gnd,

ESC,Cancel掉“Addinstance”窗口。

[6]摆放元件并加网线:

参照下图放好元件(symbol):

(移动用m键,删除用Delete键,取消命令用ESC键)

…”窗口左边工具栏中的Wire(narrow),连好网线,ESC

最后结果如下图:

[7]保存文件:

“VirtuosoSchematicEditing:

…”窗口左上角CheckandSave工具。

层次化设计——symbol生成

[1]symbol生成

进入“VirtuosoSchematicEditing:

testnand2schematic”窗口,如上图。

Design->

CreateCellview->

FromCellview

在CellviewFromCellview窗口,FromViewName栏为:

schematic,Tool/DataType栏为Composer-Symbol。

OK

在“SymbolGenerationOptions”窗口,单击Load/Save按纽,OK

出现“VirtuosoSchematicEditing:

testnand2symbol”窗口,Design->

CheckandSave

也可以改变symbol形状:

用m命令将Symbol的上边界位置和INA管脚向上移动一网格,

用m命令将3个cdsParam(n)移到方框外面的下方,将cdsName()移到方框外面上方,将字符OUT移到方框外面右方,删除内部绿色方框。

参照下图,单击菜单Add->

Shape->

Circle,加个小圆圈,Add->

Arc,加个圆弧(先确定上下2点,如出现anglenotallowed不必紧张,稍微移动鼠标试一试,你会发现如何画弧),用m将左边的红色外框右移(缩短原symbol外框),2个PIN以及连线(INA和INB)同样右移,用Line工具画出缺少的3边。

上述步骤中,均使用ESC取消操作命令。

画好后,Design->

CheckandSave

得到下图:

参考图(改变形状后)

 

实验二、SpectreSimulation

实验内容与步骤:

一、nand2电路仿真

[1]、创建激励信号电路模块:

在CIW窗口(icfb-Log:

/…):

LibraryManager,弹出LibraryManager窗口,在Library中应有test,点击它。

Cellview,

在弹出的“CreateNewFile”窗口CellName栏中,testnand2

Tool栏中,选Composer-Schematic,OK

…”窗口中,按下图加入单元(Instance)、Pin并连线。

如下图

[2]、编写仿真文件

1.设置仿真环境。

点击Tools->

AnalogEnvironment

2.设置仿真模型文件路径。

在弹出的“AnalogDesignEnvironment”(ADE)窗口,点击Setup->

SimulationFiles

在IncludePath中加上./Models,->

OK

3.输入模型文件名。

在ADE窗口,点击Setup->

ModelLibraries

在ModelLibraryFile栏中:

选中Section为typical的那一项(我这里是第一项)->

Add->

4.选择需要查看的信号。

ADE窗口,点击Outputs->

ToBePlotted->

SelectOnSchematic

在testtestnand2schematic原理图中点击3个Pin的连线(线会变颜色)。

相应地,此时ADE窗口Outputs框中就有了你所要观察的信号。

点击右边的

编写仿真环境,在这里,StopTime为100ns(其实也可以把步长也设置好,但这里用的是默认的),点OK。

完整的仿真条件已经编好,如下图

5.保存仿真激励文件。

点击ADE窗口的Session->

SaveState

SaveAs栏:

state1

(可以关掉“AnalogDesignEnvironment”窗口)

[3]、将激励模块加入被仿真电路。

1.回到testtestnand2schematic窗口,生成testnand2单元的symbol

在“SymbolGenerationOptions”窗口,将“TopPins”栏中的“OUTINBINA”拷贝到RightPins栏中,删除TopPins栏中的原来内容,OK

testtestnand2symbol”窗口,Design->

CheckandSave,

退出testnand2的symbol窗口。

2.在“LibraryManager”窗口,打开test中你在实验一画好的nand2原理图,添加testnand2元件。

(添加testnand2元件,选instance,如同前面画电路图时添加MOS管一样,这里添加的是testnand2的symbol,如下图)

按下图连线,保存文件CheckandSave。

(不要退出Schematic窗口)

[4]、仿真

1.“VirtuosoSchematicEditing:

…”窗口,Tools->

AnalogEnvienment如下图

2.加激励。

弹出ADE(CadenceAnalogDesignenvironment)窗口,Session->

LoadState。

在“LoadingState”窗口,Library选test,Cell选testnand2/nand2(具体是哪个自己可以试试),Simulator选spectre;

StateName框中选:

state1,OK

3.计算。

点击ADE窗口右边NetlistandRun,弹出“WelcometoSpectre”窗口,OK

你应该看到spectre.out文件和仿真波形Waveform。

如下图:

4.选择Waveform窗口上方菜单Axes->

Strips,各信号波形分开显示。

分开后波形:

5.用鼠标拖WaveformWindow的边界,可以放大显示区域。

完成后,在ADE窗口,Session->

Quit

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 经济学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1