完整word版EDA课程设计实验电子秒表Word文档格式.docx

上传人:b****5 文档编号:19926982 上传时间:2023-01-12 格式:DOCX 页数:12 大小:1.60MB
下载 相关 举报
完整word版EDA课程设计实验电子秒表Word文档格式.docx_第1页
第1页 / 共12页
完整word版EDA课程设计实验电子秒表Word文档格式.docx_第2页
第2页 / 共12页
完整word版EDA课程设计实验电子秒表Word文档格式.docx_第3页
第3页 / 共12页
完整word版EDA课程设计实验电子秒表Word文档格式.docx_第4页
第4页 / 共12页
完整word版EDA课程设计实验电子秒表Word文档格式.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

完整word版EDA课程设计实验电子秒表Word文档格式.docx

《完整word版EDA课程设计实验电子秒表Word文档格式.docx》由会员分享,可在线阅读,更多相关《完整word版EDA课程设计实验电子秒表Word文档格式.docx(12页珍藏版)》请在冰豆网上搜索。

完整word版EDA课程设计实验电子秒表Word文档格式.docx

3.【实验原理】

3.1秒表功能

秒表的显示范围是00:

99,显示精度为1ms,可控的启动功能(通过计数器的cin端口来控制计数器的启动,也即控制数字秒表的启动)及数字秒表清零功能(通过控制计数器清零端来实现,当清零端为高电平时,计数器清零,也即数字秒表清零,否则秒表正常计数),清零时蜂鸣器报警。

3.2秒表设计结构

秒表的物理结构比较简单,它主要由十进制计数器、六进制计数器、数据选择器、显示译码器蜂鸣器等组成。

此外,秒表还需有一个启动信号、清零信号及报时信号,以便实现对秒表的控制和有效应用(启动和清零信号及报时信号由使用者给出,设计时主要任务是将此外界信号的功能准确的通过数字秒表体现出来,也即,当使用者给出启动信号时数字秒表能够正常启动,上面已经给出,启动和清零的功能是通过将此信号送给计数器来实现的)。

3.3秒表设计思路

十进制计数器clk端接石英晶体振荡器,选择振荡频率为1000HZ。

则振荡一秒钟之后,十进制计数器进位输出端输出100个高电平信号,每个高电平信号表示

s,也即10ms。

然后将10ms的信号接到下一个十进制计数器的clk端,则10个此信号之后,输出一个高电平信号,也即每个高电平信号表示10

10=100ms。

依此类推,通过选择不同的计数器,在进位输出端可分别得到10ms、100ms、1s、10s等进位信号。

与此同时,为了使计数器记录的数字在七段显示器上显示出来,计数器除了有进位输出端之外还应该有BCD码输出端,以便连接显示译码器。

由于数字秒表有6块七段显示器,那么理应要有6块与之对应的显示译码器。

但是在工程中,为了节约成本一般只用一块显示译码器,此译码器由控制器控制输入(输入信号为各个译码器的BCD码输出端),间接控制输出。

也就是说数字秒表各个显示器不是一直在显示着,而是各个显示器交替显示,只是由于控制器扫描频率足够大,人眼分辨不出这种交替显示,误以为是一直显示着。

3.4系统组成框图

系统组成框图如图3.1所示。

数字秒表

计时输入

石英晶体振荡器

计时电路

显示电路

分频电路

计数器

六进制计数器

扫描电路

七段译码器

十进制计数器

图3.1系统组成框图

4.【实验步骤与内容】

4.1六进制计数器

将VHDL文本文件在Quartus上编译仿真(为了仿真,需要将此VHDL文件设置为工程文件),仿真结果如下图所示。

然后新建blocksymbolfile,接着选择菜单file

create/update

createsymbolfilesforcurrentfile将VHDL文件转换成symbol文件,以便在顶层文件设计中调用。

转换成功后要保存并命名(命名与VHDL文件命名规则相同,要与实体名保持一致)。

六进制计数器symbol文件如下图所示,clk为时钟信号、clr为清零端(clr为高电平时计数器清零)、start为使能信号(start为1时计数器正常计数,否则计数器不工作)、daout[3..0]BCD码输出端口、cout为进位输出端口。

六进制计数器VHDL语言描述详见附件。

cnt6波形仿真示意图如下

4.2十进制计数器

将VHDL文本文件在Quartus上编译仿真,仿真结果如图2.4所示。

然后按照上述步骤将VHDL文件转换成symbol文件并保存。

十进制计数器symbol文件如下图所示,端口功能描述参照六进制计数器。

十进制计数器VHDL语言描述详见附件。

cnt10波形仿真示意图如下

4.3蜂鸣器控制电路

将此VHDL文本文件在Quartus上编译仿真,仿真结果如图2.6(表示若接通clk,则当I为高电平且clk为上升沿时q输出高电平,也即蜂鸣器响一声,随后当下一个clk上升沿到来,由于此时I为零,q输出低电平,也即蜂鸣器在计数达到一小时时报时一声)和下图(表示若接通clk端,则每一次I输入高电平,也即每当计数器计到一小时那一刻q都对应一个高电平,即每当计数达到一小时,都会报时)所示。

蜂鸣器控制电路symbol文件如图所示。

蜂鸣器控制电路VHDL语言描述详见附件。

蜂鸣器仿真波形图如下

4.4Pins/引脚绑定

4.5顶层文件设计

为了建立顶层文件调用子程序模块,必须建立一个原理图编辑窗口。

选择菜单files

new

blockdiagram/schematicfile,然后将其设置为新的工程,并将所有的子程序添加到此工程中,然后保存并命名为Timer。

建立工程后,在新打开的原理图编辑窗口双击鼠标,添加各个子模块,然后将子模块按照1.1中的设计思路连接起来,得到数字秒表原理图文件,如下图所示。

5.【实验箱操作效果】

把Timer.sof文件下载到GW48/SOPC实验箱

选择usb-blaster(0),点击start,开始下载,等待Progress到达100%;

选择模式5

键1为Start/Stop

键2为Res/复位

clk与clk2时钟频率选择

clk选择为16.0hz,clk2选择1024hz

最终实验效果如下图

6.【实验心得和体会】

通过这次设计,首先把课堂上学习的硬件描述语言应用到了实际,巩固了已经学到的东西,并对它有了更深层次的理解。

理论应用到实际总是能让人学到很多。

其次,实际操作让我了解一个电子系统的实际开发过程,这就是学到了实际操作方面的东西。

还有,这个设计过程让我体会到了模块化设计法的优势。

最后,在设计中遇到的各种问题让我产生很多思考,体会到依靠思考解决实际问题的乐趣。

最后想说的是,这虽然是一个很简单的系统,但是在设计过程中也称得上困难重重,历经困惑。

一个看上去挺简单的东西,要实现它却是另一回事,会有很多想不到的困难。

那么看上去就很难的东西,实现起来就更难于上青天了。

但是人们却能把这样的东西做出来,比如计算机系统。

这就是人类智慧和现代科技的伟力。

7.【参考文献】

[1]王金明数字系统设计与VerilogHDL电子工业出版社2011.1

[2]马建国FPGA现代数字系统设计清华大学出版社2010.7

[3]王城AlteraFPGA/CPLD设计(基础篇)人民邮电出版社2005.11

[4]李洪伟基于QuartusII的FPGA/CPLD设计电子工业出版社2006.8

[5]杜慧敏基于Verilog的FPGA设计基础西安电子科技大学出版2006.2

附录

各个模块的VHDL文件

clkgen.VHD

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYCLKGENIS

PORT(CLK:

INSTD_LOGIC;

NEWCLK:

OUTSTD_LOGIC);

ENDENTITYCLKGEN;

ARCHITECTUREARTOFCLKGENIS

SIGNALCNTER:

INTEGERRANGE0TO10#119999#;

BEGIN

PROCESS(CLK)IS

BEGIN

IFCLK'

EVENTANDCLK='

1'

THEN

IFCNTER=10#119999#THENCNTER<

=0;

ELSECNTER<

=CNTER+1;

ENDIF;

endprocess;

PROCESS(CNTER)IS

IFCNTER=10#119999#THENNEWCLK<

='

;

ELSENEWCLK<

0'

ENDPROCESS;

ENDARCHITECTUREART;

Cnt10.vhd

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYCNT10IS

PORT(CLK,RST,EN:

INSTD_LOGIC;

CQ:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

COUT:

OUTSTD_LOGIC);

ENDCNT10;

ARCHITECTUREbehavOFCNT10IS

BEGIN

PROCESS(CLK,RST,EN)

VARIABLECQI:

STD_LOGIC_VECTOR(3DOWNTO0);

IFRST='

THENCQI:

=(OTHERS=>

'

);

ELSIFCLK'

EVENTANDCLK='

THEN

IFEN='

IFCQI<

9THENCQI:

=CQI+1;

COUT<

='

ELSECQI:

);

CQ<

=CQI;

ENDPROCESS;

ENDbehav;

Cnt6.vhd

LIBRARYIEEE;

ENTITYCNT6IS

ENDCNT6;

ARCHITECTUREbehavOFCNT6IS

5THENCQI:

Beep.vhd

Libraryieee;

Useieee.std_logic_1164.all;

Useieee.std_logic_unsigned.all;

Entityaais

Port(

clk:

instd_logic;

beep:

outstd_logic);

endaa;

Architecturem1ofaais

signalbeep_r:

std_logic;

signalcount:

std_logic_vector(15downto0);

begin

beep<

=beep_r;

process(clk)begin

ifclk'

eventandclk='

then

count<

=count+'

endif;

endprocess;

process(count(15))begin

beep_r<

=notbeep_r;

endm1;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 法律文书 > 调解书

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1