ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:1.60MB ,
资源ID:19926982      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/19926982.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(完整word版EDA课程设计实验电子秒表Word文档格式.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

完整word版EDA课程设计实验电子秒表Word文档格式.docx

1、3.【实验原理】3.1秒表功能秒表的显示范围是00:99,显示精度为1ms,可控的启动功能(通过计数器的cin端口来控制计数器的启动,也即控制数字秒表的启动)及数字秒表清零功能(通过控制计数器清零端来实现,当清零端为高电平时,计数器清零,也即数字秒表清零,否则秒表正常计数),清零时蜂鸣器报警。3.2秒表设计结构秒表的物理结构比较简单,它主要由十进制计数器、六进制计数器、数据选择器、显示译码器蜂鸣器等组成。此外,秒表还需有一个启动信号、清零信号及报时信号,以便实现对秒表的控制和有效应用(启动和清零信号及报时信号由使用者给出,设计时主要任务是将此外界信号的功能准确的通过数字秒表体现出来,也即,当使

2、用者给出启动信号时数字秒表能够正常启动,上面已经给出,启动和清零的功能是通过将此信号送给计数器来实现的)。3.3秒表设计思路 十进制计数器clk端接石英晶体振荡器,选择振荡频率为1000HZ。则振荡一秒钟之后,十进制计数器进位输出端输出100个高电平信号,每个高电平信号表示s,也即10ms。然后将10ms的信号接到下一个十进制计数器的clk端,则10个此信号之后,输出一个高电平信号,也即每个高电平信号表示1010=100ms。依此类推,通过选择不同的计数器,在进位输出端可分别得到10ms、100ms、1s、10s等进位信号。与此同时,为了使计数器记录的数字在七段显示器上显示出来,计数器除了有进

3、位输出端之外还应该有BCD码输出端,以便连接显示译码器。由于数字秒表有6块七段显示器,那么理应要有6块与之对应的显示译码器。但是在工程中,为了节约成本一般只用一块显示译码器,此译码器由控制器控制输入(输入信号为各个译码器的BCD码输出端),间接控制输出。也就是说数字秒表各个显示器不是一直在显示着,而是各个显示器交替显示,只是由于控制器扫描频率足够大,人眼分辨不出这种交替显示,误以为是一直显示着。3.4系统组成框图 系统组成框图如图3.1所示。数字秒表计时输入石英晶体振荡器计时电路显示电路分频电路计数器六进制计数器扫描电路七段译码器十进制计数器图3.1 系统组成框图4.【实验步骤与内容】4.1六

4、进制计数器将VHDL文本文件在Quartus上编译仿真(为了仿真,需要将此VHDL文件设置为工程文件),仿真结果如下图所示。然后新建block symbol file,接着选择菜单filecreate/updatecreate symbol files for current file将VHDL文件转换成symbol文件,以便在顶层文件设计中调用。转换成功后要保存并命名(命名与VHDL文件命名规则相同,要与实体名保持一致)。六进制计数器symbol文件如下图所示,clk为时钟信号、clr为清零端(clr为高电平时计数器清零)、start为使能信号(start为1时计数器正常计数,否则计数器不工

5、作)、daout3.0BCD码输出端口、cout为进位输出端口。六进制计数器VHDL语言描述详见附件。cnt6波形仿真示意图如下4.2 十进制计数器将VHDL文本文件在Quartus上编译仿真,仿真结果如图2.4所示。然后按照上述步骤将VHDL文件转换成symbol文件并保存。十进制计数器symbol文件如下图所示,端口功能描述参照六进制计数器。十进制计数器VHDL语言描述详见附件。cnt10波形仿真示意图如下4.3蜂鸣器控制电路将此VHDL文本文件在Quartus上编译仿真,仿真结果如图2.6(表示若接通clk,则当I为高电平且clk为上升沿时q输出高电平,也即蜂鸣器响一声,随后当下一个cl

6、k上升沿到来,由于此时I为零,q输出低电平,也即蜂鸣器在计数达到一小时时报时一声)和下图(表示若接通clk端,则每一次I输入高电平,也即每当计数器计到一小时那一刻q都对应一个高电平,即每当计数达到一小时,都会报时)所示。蜂鸣器控制电路symbol文件如图所示。蜂鸣器控制电路VHDL语言描述详见附件。蜂鸣器仿真波形图如下4.4 Pins/引脚绑定4.5顶层文件设计为了建立顶层文件调用子程序模块,必须建立一个原理图编辑窗口。选择菜单filesnewblock diagram/schematic file,然后将其设置为新的工程,并将所有的子程序添加到此工程中,然后保存并命名为Timer。建立工程后

7、,在新打开的原理图编辑窗口双击鼠标,添加各个子模块,然后将子模块按照1.1中的设计思路连接起来,得到数字秒表原理图文件,如下图所示。5.【实验箱操作效果】把Timer.sof文件下载到GW48/SOPC实验箱选择usb-blaster(0),点击start,开始下载,等待Progress到达100%;选择模式5键1为Start / Stop键2为 Res/复位clk与clk2时钟频率选择clk选择为16.0hz,clk2选择1024hz最终实验效果如下图6.【实验心得和体会】通过这次设计,首先把课堂上学习的硬件描述语言应用到了实际,巩固了已经学到的东西,并对它有了更深层次的理解。理论应用到实际

8、总是能让人学到很多。其次,实际操作让我了解一个电子系统的实际开发过程,这就是学到了实际操作方面的东西。还有,这个设计过程让我体会到了模块化设计法的优势。最后,在设计中遇到的各种问题让我产生很多思考,体会到依靠思考解决实际问题的乐趣。最后想说的是,这虽然是一个很简单的系统,但是在设计过程中也称得上困难重重,历经困惑。一个看上去挺简单的东西,要实现它却是另一回事,会有很多想不到的困难。那么看上去就很难的东西,实现起来就更难于上青天了。但是人们却能把这样的东西做出来,比如计算机系统。这就是人类智慧和现代科技的伟力。7.【参考文献】1 王金明 数字系统设计与VerilogHDL 电子工业出版社 201

9、1.12 马建国 FPGA现代数字系统设计 清华大学出版社 2010.73 王城 AlteraFPGA/CPLD设计(基础篇)人民邮电出版社 2005.114 李洪伟 基于QuartusII的FPGA/CPLD设计电子工业出版社 2006.85 杜慧敏 基于Verilog的FPGA设计基础 西安电子科技大学出版2006.2附录各个模块的VHDL文件clkgen.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CLKGEN IS PORT (CLK :IN STD_LOGIC; NEWCLK : OUT STD_LOGIC);END ENTI

10、TY CLKGEN;ARCHITECTURE ART OF CLKGEN IS SIGNAL CNTER: INTEGER RANGE 0 TO 10#119999#;BEGINPROCESS(CLK) ISBEGIN IF CLK EVENT AND CLK=1THEN IF CNTER=10#119999#THEN CNTER=0;ELSE CNTER=CNTER+1; END IF;end process;PROCESS(CNTER) IS IF CNTER=10#119999# THEN NEWCLK=; ELSE NEWCLK) ; ELSIF CLKEVENT AND CLK= T

11、HEN IF EN = IF CQI 9 THEN CQI := CQI + 1; COUT = ELSE CQI :); CQ = CQI; END PROCESS; END behav;Cnt6.vhd LIBRARY IEEE; ENTITY CNT6 IS END CNT6; ARCHITECTURE behav OF CNT6 IS 5 THEN CQI :Beep.vhdLibrary ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity aa is Port( clk:in std_logic; beep:out std_logic);end aa; Architecture m1 of aa is signal beep_r:std_logic; signal count:std_logic_vector(15 downto 0); begin beep=beep_r; process(clk) begin if clkevent and clk= then count=count+ end if; end process; process(count(15) begin beep_r=not beep_r ; end m1;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1