EDA洗衣机控制器Word下载.docx

上传人:b****6 文档编号:17666426 上传时间:2022-12-08 格式:DOCX 页数:10 大小:138.02KB
下载 相关 举报
EDA洗衣机控制器Word下载.docx_第1页
第1页 / 共10页
EDA洗衣机控制器Word下载.docx_第2页
第2页 / 共10页
EDA洗衣机控制器Word下载.docx_第3页
第3页 / 共10页
EDA洗衣机控制器Word下载.docx_第4页
第4页 / 共10页
EDA洗衣机控制器Word下载.docx_第5页
第5页 / 共10页
点击查看更多>>
下载资源
资源描述

EDA洗衣机控制器Word下载.docx

《EDA洗衣机控制器Word下载.docx》由会员分享,可在线阅读,更多相关《EDA洗衣机控制器Word下载.docx(10页珍藏版)》请在冰豆网上搜索。

EDA洗衣机控制器Word下载.docx

这是本计时器设计的基本功能,每隔一分钟计时一次,并在显示屏上显示当前时间。

2、闹钟功能:

如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声。

3、设置新的计时器时间:

用户用数字键输入新的时间,然后按"

TIME"

键确认。

在输入过程中,输入数字在显示屏上从右到左依次显示。

例如,用户要设置新的时间12:

34,则按顺序输入“1”,“2”,“3”,“4”,与之对应,显示屏上依次显示的信息为:

“1”,“12”,“123”,“1234"

如果用户在输入任意几个数字后较长时间内,例如5s,没有按任何键,则计时器恢复到正常的计时显示状态。

主要参考资料:

[1]潘松著.EDA技术实用教程(第二版).北京:

科学出版社,2005.

[2]康华光主编.电子技术基础模拟部分.北京:

高教出版社,2006.

[3]阎石主编.数字电子技术基础.北京:

高教出版社,2003.

完成期限

指导教师

专业负责人

2011年3月7日

一、总体设计思想

1.基本原理

洗衣机控制器的设计主要是定时器的设计。

由一片FPGA和外围电路构成了电器控制部分。

FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。

对芯片的编程采用模块化的EDA(硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。

顶层和中间层多数是由EDA的元件例化语句实现。

中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。

首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。

当秒计数器变为零的时候,去分钟计数器上面借数;

与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;

当用户设定的洗涤时间结束后,电路报警并清零;

同时电机指示灯熄灭。

2.设计框图

二、设计步骤和调试过程

1、总体设计电路

洗衣机控制器电路主要有五大部分组成,包括:

减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。

具体电路如下图所示:

2、模块设计和相应模块程序

⑴数码管显示

根据课程设计要求,必须将洗衣机的工作状态及工作时间在数码管和指示灯上显示出来,此模块是用来控制洗衣机的工作状态及工作的频率,并把工作状态及工作时间显示出来

实现数码管显示

Libraryiee;

Useieee.std_logic_1164.all;

Entityencodeis

Port(

Bcd:

instd_logic_vector(3downtoo);

A,b,c,d,e,f,g:

outstd_logic

);

Endencode;

Architecturertlofencodeis

Signaltemp:

std_logic_vector(6downto0);

Begin

Table

Bcd=>

temp;

"0000"=>

"1111110";

"0001"=>

"0110000"

"0010"=>

"1101101"

"0011"=>

"1111001"

"0100"=>

"0110011"

"0101"=>

"1011011"

"0110"=>

"1011111"

"0111"=>

"1110000"

"1000"=>

"1111111"

"1001"=>

"1111011"

Endtable;

a<

=temp(6);

b<

=temp(5);

c<

=temp(4);

d<

=temp(3);

e<

=temp

(2);

f<

=temp

(1);

g<

=temp(0);

endrtl

⑵时序控制电路

此模块是为了实现洗衣机的正转、暂转、反转的功能,它由一个状态控制模块构成,是典型的状态机。

Libraryieee;

Useieee.std_logic_unsigned.all

Entityshixuis

Port(cp,en,rd:

instd_logic;

Q1,q2:

outstd_logic);

Endshixu;

Architecturertlofshixuis

Process(cp)

Variablewash_time:

integerrange0to19;

integerrange0to9;

Variablestate:

std_logic;

integer:

=21;

=9;

If(en=’0’)wash_time:

=’19’;

wait_time:

=’9’;

state:

=’0’;

Endif;

if(en=’0’)thenwash_time:

Q1<

Q2<

Elseif(cp’eventandcp=’1’)

Thenif(rd=’1’)thenif(wash_time>

0)

Thenwash_time:

=20;

=notstate;

endif;

If(wash_time=0)thenQ1<

elseif(state=’0’)

ThenQ1<

=’1’;

elseQ1<

ElseQ1<

Q2,=’0’;

Endprocess;

Endrtl;

⑶预置时间和编码电路

此模块由一个累加器和一个命令控制器组成,用来实现预置洗涤时间的功能,洗涤时间以分钟数为单位,用户可根据自己的需求来设定洗涤时间的长短。

Useieee.std_logic_unsigned;

all;

Entitycounteris

Port(clk,start:

k:

inSTD_LOGIC_VECTOR(7downto0);

time_remain:

BUFFERSTD_LOGIC_VECTOR(7DOWNTO0);

time_is_up:

endcounter;

architecturertlofcounteris

begin

process(clk)

variabletime_second:

integer:

=60;

=0;

beginif(clk’eventandclk=’1’)

thenif(time_second>

0andstart=’1’)

thentime_second:

=time_second-1;

elsetime_second:

=59;

if(start=’0’)

thentime_remain<

=k;

time_second:

else

if(time_second=0)

thenif(time_remain(3downto0)>

thentime_remain(3downto0)<

=time_remain(3downto0)-start;

time_remain(3downto0)<

=time_remain(3downto0)-1;

elseif(time_remain(7downto4)>

thentime_remain(7downto4)<

=time_remain(7downto4)-start;

time_remain(7downto4)<

=time_remain(7downto4)-1;

="1001";

endif;

elseif(time_second=0andtime_second=1)

if(time_remain=0)thentime_is_up<

elsetime_is_up<

time_second:

endprocess;

endrtl;

⑷译码器

当洗涤时间结束时,洗衣机就会自动发出警报声,以此提醒用户洗涤工作完成,此模块就是完成此功能。

libraryieee;

useieee.std_logic_1164.all;

entitydecoderis

port(Q1,Q2:

instd_logic;

REV,RUN,PAUSE:

outstd_logic);

enddecoder;

architecturertlofdecoderis

signalchoose:

std_logic_vector(1downto0);

choose

(1)<

=q1;

choose(0)<

=q2;

process(choose)

casechooseis

when"

00"

=>

REV<

='

0'

;

RUN<

PAUSE<

1'

10"

01"

whenothers=>

endcase;

=Q2;

=Q1;

=not(Q1ORQ2);

endrtl;

(5)减法计数器

由于洗衣机有工作时间,必须要一模块来控制它的工作时间范围,当洗衣机开始工作后,减法计数器即会实现减数功能,直到时间减到零,洗衣机便停止工作。

3、仿真及仿真结果分析

EDA工具在EDA技术应用中占据极其重要的位置,EDA的核心是利用计算机完成电子设计全程自动化,因此基于计算机环境的EDA软件的支持是必不可少的。

此次设计所用EDA工具是由著名的Alter公司生产的MAX+plusⅡ工具软件,它是一种集成的开发环境,支持原理图、VHDL和Verilog语言文本文件,以及波形文件作为设计输入,并支持这些文件的人以混合设计。

仿真信号图

仿真图

洗衣机接通电源,按load设置洗涤时间按start、rd置为高电平洗衣机开始工作,当时钟第一个上升沿到达时run(正转功能)为高电平维持20s以后变为低电平而pause(暂停功能)随着时钟上升沿的到来变为高电平维持10s变为低电平,然后rev(反转功能)开始随着时钟上升沿的到来变为高电平工作维持20s后变为低电平,再停止pause置高,接下来电路一直重复上述工作,知道定时器计数结束。

4、实验调试结果

电路设计完成以后,按照预定设计,输入相应数据,三只LED灯按照设定时间规律间断性亮起,数码管也显示输入时间并按减数计时产生相应的数字显示,直到到达预定时间停止工作显示零,实验设计达到预期效果。

三、结论及心得体会

经过了这次课程设计,深有感触啊。

每天都在想周这个问题该如何解决,那个地方有什么不对;

大家都急啊,千呼万唤出来了,可以想象搞开发是如何的不容易了,工作更是不简单,生活不是我们在寝室里所想象的那样,首先自己的事情主要还是靠自己,虽然合作也同样重要,有一个好的合作伙伴也是不容忽视的,现在的很多工程、项目或者开发都是一个团体共同努力,每一个成员都要用功,一个项目或课题决定在几个人手里,那是不健康的、没有什么刚好的前途的,从本次课程设计的目的来看,收获也是不少的,它使我们对课本以及以前学过的知识有了一个更好的总结与理解,也让我们知道了,光分开使不够的,从外面学到的知识要把形成一各整体,这也很好的训练了外面对开发一个项目应该有怎样的思路和准备更清晰。

无论是从C语言课程设计到金工实习,还是从电子实习到现在的数电课程设计,每一次自己都觉得有一个进步,虽然有些方面自己做不出,但可以从老师和其他同学那里学到更好更多的东西,他们从另一个方面透析了自己的困难,这是很重要的,它让我学会了怎样学习别人的长处并把它变成自己的长处。

有这样的机会和收获,要感谢老师的辅导以及同学的帮助,是他们让自己有了一个更好的认识,无论是学习还是生活,生活是实在的,要踏实走路,虽然自己走出来的路要崎岖的多,但觉得踏实、放心。

参考资料

[2]《电子技术课程设计》 

历雅萍、易映萍编

[3]《电子技术课程设计指导》彭介华 

主编 

 

高等教育出版社

[4]《电子线路设计、实验、测试》 

谢自美主编华中理工出版社。

[5]《数字电子技术基础》 

阎 

石 

高等

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工程科技 > 能源化工

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1