MAX+PLUS II快速入门Word下载.docx

上传人:b****4 文档编号:16681531 上传时间:2022-11-25 格式:DOCX 页数:16 大小:224.18KB
下载 相关 举报
MAX+PLUS II快速入门Word下载.docx_第1页
第1页 / 共16页
MAX+PLUS II快速入门Word下载.docx_第2页
第2页 / 共16页
MAX+PLUS II快速入门Word下载.docx_第3页
第3页 / 共16页
MAX+PLUS II快速入门Word下载.docx_第4页
第4页 / 共16页
MAX+PLUS II快速入门Word下载.docx_第5页
第5页 / 共16页
点击查看更多>>
下载资源
资源描述

MAX+PLUS II快速入门Word下载.docx

《MAX+PLUS II快速入门Word下载.docx》由会员分享,可在线阅读,更多相关《MAX+PLUS II快速入门Word下载.docx(16页珍藏版)》请在冰豆网上搜索。

MAX+PLUS II快速入门Word下载.docx

MAX+PLUSII的编译核心支持Altera的FLEX10K、FLEX8K、MAX9000、MAX7000、FLASHlogic、MAX5000、Classic系列可编程逻辑器件;

MAX+PLUSII的设计输入、处理与校验功能一起提供了全集成化的一套可编程逻辑开发工具,可加快动态调试,缩短开发周期;

MAX+PLUSII支持各种HDL设计输入,包括VHDL、Verilog和Altera的AHDL;

MAX+PLUSII可与其他工业标准设计输入、综合与校验工具链接。

与CAE工具的接口符合EDIF200和209、参数化模块库(LPM)、Verilog、VHDL及其它标准。

设计者可使用Altera或标准CAE设计输入工具去建立逻辑设计,使用MAX+PLUSII编译器对Altera器件设计进行编译,并使用Altera或其它CAE校验工具进行器件或板级仿真。

MAX+PLUSII支持与Synopsys、Viewlogic、MentorGraphics、Cadence、Exemplar、DataI/O、Intergraph、Minc、OrCAD等公司提供的工具接口;

安装

本站提供MAX+PLUSIIV9.30功能完全版,支持MAX+PLUSII全部功能,包括原理图输入、VHDL/AHDL输入、编译、仿真、定时分析、编程。

为方便下载,这一版本仅提供对EPM7000/EPM7000S/EPM7000A的支持。

安装很简单,只需将文件解开放在c:

\maxplus2\目录。

使用

使用MAX+PLUSII进行设计包括四个阶段:

设计输入、设计处理、设计验证和器件编程。

下面以一个最简单的例子,用ALTERA的EPLD——EPM7128S实现二分频器,来示范用MAX+PLUSII进行开发的全过程。

首先启动MAX+PLUSII,进入集成开发环境

运行:

maxstart.exe

设计输入

建立一个新设计输入文件,这里我们采用原理图方式GraphicEditorfile(*.gdf)来进行设计输入,这是最方便,最直观的逻辑输入方法

选择“OK”进入编辑状态

接着输入逻辑元件,在编辑区的空白处双击鼠标。

在SymbolName栏输入dff,表示D触发器

选择“OK”,D触发器就被放在编辑区

放置器件时,在SymbolLibraries框中选择mf库,就可以选择常用的74系列逻辑芯片

下面再放一个反相器,输入名称:

not

在反相器上按鼠标右键,将反相器旋转180度

下面放置I/O脚,输入脚:

input,输出脚:

output

在PING_NAME上双击,编辑管脚名为in、out

鼠标移动到器件的端上就变为小十字,拖动即可画线,如图连接

好了,设计输入告一段落,将设计文件存盘,命名为myfirst.gdf

编译

上面已经完成了原理图的输入,需要给设计指定一个工程名,选择File->

Project->

SetProjecttoCurrentFile,将当前工程名设为当前文件名

下面定义器件,即定义用哪种EPLD来实现设计,选择Assign->

Device,在弹出窗口中选择MAX7000系列的EPM7128SLC84-10,确认

准备开始编译,选择MAX+plusII->

Compiler,弹出编译窗口,按Start开始编译

编译结束之后,在编译窗口中的rpt图标上双击,可打开编译报告文件,其中有便宜后的管脚分配图,可看到我们定义的管脚in和out

分配I/O脚

上面MAX+PLUSII完成了编译,把我们定义的I/O脚自动分配给了器件EPM7128SLC84,也许你对MAX+PLUSII自动分配的管脚不满意,没关系,自己定义,选择MAX+plusII->

FloorplanEditor,进入底层编辑工具,再选择Layout->

DeviceView和Layout->

CurrentAssignmentsFloorplan,显示当前的管脚分配情况

可看到EPLD的底层图,右上角为UnassignedNodes&

Pins

你可以直接将UnassignedNodes&

Pins中的管脚拖到合适的地方

编辑后结果如下

然后需要按前面所述将工程重新编译一遍,在rpt报告文件里可以看到新定义的管脚分配图

仿真

设计已经完成,但功能是否完全正确呢?

只要进行一下仿真就能知道

首先,要编辑一个波形文件,打开MAX+plusII->

WaveformEditor

然后确定仿真的时长,选择File->

EndTime,输入100us,确定

还需要确定仿真的最小时间单位,选择Option->

GridSize,输入50ns,确定

下面开始输入要仿真的信号名称,选择Node->

EnterNodeFromSNF,在弹出的对话框中按List按钮,可以看到我们前面定义的I/O:

in、out

按=>

选择要增加的Nodes,把in、out都加入,确定,in、out出现在WaveEditor中

按in的图标,选中信号in,在左侧的工具按钮上选择时钟工具

在弹出的对话框中按确认

用左侧工具调整显示比例,得到以下波形

这就在in脚上加入了一个周期是100ns的信号

将波形文件存盘为myfirst.scf,选择MAX+plusII->

Simulator调入仿真器

直接按Start启动仿真,仿真结束后按OpenSCF,可以看到仿真结果

可以看出out脚输出正确,实现了二分频,另外,输出和输入之间的实际时延也被仿真出来了

编程

至此,一个设计已经全部完成,你可以在设计目录下找到生成的编程文件*.pof,要将它实现需要对EPM7128进行编程,最方便又廉价的方法是用Altera的ByteBlaster下载电缆将编程文件.pof从电脑的并行口直接写入器件。

选择MAX+plusII->

Programmer,再选择Options->

HardwareSetup,如下配置硬件

可以看到myfirst.pof已经自动被Programmer选中了

这时,确认硬件正确连接,目标板电源打开,按下Program即可开始对目标板上的EPLD进行编程了。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1