基于FPGA10层电梯控制器的设计Word文档格式.docx

上传人:b****4 文档编号:16032022 上传时间:2022-11-17 格式:DOCX 页数:29 大小:2.72MB
下载 相关 举报
基于FPGA10层电梯控制器的设计Word文档格式.docx_第1页
第1页 / 共29页
基于FPGA10层电梯控制器的设计Word文档格式.docx_第2页
第2页 / 共29页
基于FPGA10层电梯控制器的设计Word文档格式.docx_第3页
第3页 / 共29页
基于FPGA10层电梯控制器的设计Word文档格式.docx_第4页
第4页 / 共29页
基于FPGA10层电梯控制器的设计Word文档格式.docx_第5页
第5页 / 共29页
点击查看更多>>
下载资源
资源描述

基于FPGA10层电梯控制器的设计Word文档格式.docx

《基于FPGA10层电梯控制器的设计Word文档格式.docx》由会员分享,可在线阅读,更多相关《基于FPGA10层电梯控制器的设计Word文档格式.docx(29页珍藏版)》请在冰豆网上搜索。

基于FPGA10层电梯控制器的设计Word文档格式.docx

论文题目:

__基于FPGA全自动电梯控制器的设计_

学位类别:

________________工学学士______________________

学科专业:

______________电子信息工程______

作者姓名:

_____________石侠军_____________________

导师姓名:

________________周泽华__________________

完成时间:

___________2013.5.28______________

基于FPGA的全自动电梯控制器的设计

中文摘要

作为高层建筑的交通工具--电梯,已经是必不可少的设备了,在中国电梯的需求量是巨大的,但是国内相比欧美等发达国家,自主创新的品牌所占份额还是相对较少。

早在20世纪电梯就已经进入到我们的生活当中,在电梯的控制方面,以前主要使用继电器-接触器控制系统进行控制,如今FPGA已经广泛的应用电梯控制中。

随着社会不断的进步,电梯已经朝着快捷,节能的方向发展。

在电梯控制方面,FPGA拥有了他独特的优势,他打破了硬件和软件之间的壁垒,在本设计当中采用的是VHDL描述语言进行设计,运用有限状态机的设计方法,设计了两个进程相互配合,状态机进程作为主要进程,信号灯控制进程作为辅助进程。

在本论文中是基于VHDL语言上的十层电梯控制。

以QuartusⅡ软件为开发环境,最终实现十层电梯控制。

功能包括:

显示电梯所在楼层、电梯当前运行方向、对各个楼层按键的响应。

关键字:

电梯控制器,VHDL,状态机

BaseonFPGAAutomaticElevatorControlSystem

ABSTRACT

High-risebuildingsasameansoftransport,elevator,isanindispensableequipment.IntheChineseelevatordemandalsoisenormous,butthedomesticcomparedtodevelopedcountries,independentinnovationbrandshareorless.Associetycontinuestoprogress,theelevatorhasbeentowardfast,energy-savingdirection.InearlytwentiethCenturytheelevatorhasenteredintoourdailylives,intheelevatorcontrol,beforethemainuseofrelaycontactorcontrolsystemcontrol,nowFPGAhasbeenwidelyusedinelevatorcontrol.

Intheelevatorcontrol,FPGAhashisuniqueadvantage,hebrokethebarriersbetweenhardwareandsoftware,isadoptedinthisdesignistheVHDLdescriptionlanguagefordesigning,usingthedesignmethodoffinitestatemachine,thedesignofthetwoprocessesinteractwitheachother,thestatemachineprocessasthemainprocess,signallampcontrolprocessastheworkerprocess.

TheelevatorcontroltenlayersbasedonisVHDLlanguageinthispaper.BytheQuartussoftwaredevelopmentenvironment,andultimatelytenelevatorcontrol.Featuresinclude:

showstheelevatorfloor,elevatorrunningdirection,inresponsetoeachfloorbutton.

KEYWORD:

ElevatorController,VHDLStateMachine,CPL

第1章课题概述

1.1课题设计基本背景

1.1.1国内与国外电梯发展情况

随着科学技术的发展,社会文明的进步,土地资源的也随之变得紧缺,建筑物高度的提高也成为必然的趋势,于是在日常生活当中,电梯已经是作为高层建筑中必不可少的垂直运输工具了,它也已然成为城市物质文化的一种明显的标志。

同时,电梯的使用也是原来越广泛了,已经不仅仅存在于原来的高楼大厦、高级住宿楼,普通楼层、居民楼等公共场所同样也在使用电梯,甚至于有的私人家庭也能够安装使用电梯了,并且电梯的功能也在随着需求的增加而不断的提高,也不再是机械的手动控制,它的控制方式已经变得自动化,多样化。

在电梯的控制系统上,存在多种方式,现今欧美等发达国家在电梯控制系统上主要采用的是32位的微型计算机控制系统。

闭环反馈的单微机处理控制系统或者多微机的协调处理控制系统是他们主要采用的,在电梯的传动系统上,比较普遍采用的是VVVF调速技术,即交流变压变频,这样保证了梯从低速度到高速度的转变平稳,实现高精度运行,不仅节能,而且减少了对电网的污染,增加了乘坐的舒适度。

对于电梯当中的反馈系统上,电梯位置信号的获得不仅仅是采用旋转编码器,绝对值编码器是不少的厂商厂家采用的方法,通过对曳引电机以距离的原则进行控制,实现了电梯平层的技术,是电梯在运行上效果接近理想状态。

现今,我们国家的生产的电梯产品,不仅仅在数量上得到明显提升,在质量上也有了明显的提升。

我们国家的电梯运行速度的控制方式与设计的理想运行曲线基本上跟发达国家的一样。

但是考虑到经济效益,如今国内的电梯控制系统,通常采用的是微机或可编程逻辑控制器对变频器进行多段速度的控制。

对于电梯传动系统上,新建筑新装电梯及旧建筑上电梯改造上,也大多数采用了交流变压变频(VVVF)调速电梯。

在电梯反馈系统上,主要采用的一般也是闭环速度反馈,首先利用增量编码器产生脉冲信号,它一般套在电机轴上,再将信号反馈给控制系统。

对于电梯井道内部信号的采集上,增量编码器计数方式是我们国家现今的电梯大多都采用的,用它来配合光电开关或者双稳态磁开关来判别电梯轿厢的位置。

随着电梯中交流调压调速技术的日益成熟,很多企业都有自己设计的优秀产品。

通过微机控制电梯的方式是电梯控制技术必然的方向,于是很多企业与科学研究单位相结合,相继推出了不同的微机控制的电梯新机型,在电梯控制功能方面上得到了增强,性能改善了不少,可靠性也得到了明显提升,于是,用FPGA/CPLD取代原来的控制系统的机型对单梯进行控制是非常有效的。

已经有不少企业生产商开发了电梯紧急供电、梯内防火控制、地震发生时电梯的控制、电梯功能自我检测以及语音技术等电梯新功能;

同时对电梯机械系统也采用了新结构、新材料、新技术和新工艺。

总而言之,我国电梯控制方面,虽然还不能够跟国外的技术相媲美,但鉴于我们国家电梯控制的技术的发展速度,在不久的将来必然能够赶超国外先进的技术。

1.1.2电梯在运行时的安全要求

对于电梯安全运行方面,也有一些规定来满足乘客的要求,而电梯的运行要求一般为以下几个方面:

1.电梯到达位置的确定,所在位置的显示。

在电梯外部等候人员和电梯乘坐人员都需要知道电梯所在位置,所以电梯轿厢和电梯每个楼层都需要显示电梯当前所在楼层。

2.电梯轿厢内的按钮的运行信号和电梯外部楼层按钮运行信号。

电梯内部和外部同时需要运行控制信号,只有同时控制电梯,才能达到电梯的自动控制,同时也不是可以无限制的控制,而其也需要一定的运行规则,内部乘坐人员可按下轿厢内操控盘上的选层按钮选定电梯运行的所要达到的楼层,然后将其作为内部选择信号。

当按钮被按下时,这个信号应该能够被记忆,且点亮相对应的指示灯。

电梯外部的待乘坐人员可以按响应的外部向上或向下按钮,产生相应的信号,此为外部召唤信号。

此信号同样需要记忆同时并点亮电梯外面相应的的向上或向下的显示灯,当这些信号得到响应时能够及时的自动清除。

3.电梯在自动运行时的信号响应。

电梯在自动运行时应由内部选择信号和外部召唤信号来决定电梯往哪个方向运行,在哪个楼层停靠。

4.轿厢的启动与运行的规则。

电梯轿厢在运行方向,轿厢门已关好确定之后启动运行,刚开始都有一个加速阶段,之后是稳定运行的阶段

1.1.3电梯控制发展概况

电梯的控制是相对比较复杂的控制方式,在计算机还没有诞生时,电梯主要使用的是继电器控制,基于继电器控制的电梯很难产生性能优良的电梯,目前,由于科学技术的发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。

电梯的微机化控制主要有以下几种形式:

单板机控制、PLC控制、单微机控制、单片机控制、多微机控制、人工智能控制等多种控制方式。

而且随着EDA技术的快速发展,FPGA已经广泛的应用于电子设计、自动控制、信号处理等各个方面。

FPGA/CPLD的使用为电梯的控制提供了广阔的空间,并且它己经成为电梯运行控制当中的关键技术。

1.2课题设计的提出

1.2.1FPGA/CPLD简介

CPLD(ComplexProgrammableLogicDevice)即复杂可编程逻辑器件,可编程互连矩阵单元是它的主要组成,它是以可编程逻辑宏单元围绕中心,可编程逻辑宏单元逻辑结构还是比较复杂,不仅仅具有复杂的I/O单元互连结构,可以根据用户的需要生成一定的电路构成,完成一定要求的功能。

而CPLD的内部主要采用的是长度固定的金属线与各逻辑快进行互连,故时间的可预测是所设计的逻辑电路所具有得,这样在很大程度上避免了分段式互连结构不能预测时序的缺点,到了90年代,CPLD开始迅速发展,不仅仅具有了电擦除的特性,而且还出现边缘扫描以及在线可编程(ISP,InSystemProgrammable)等比较高级的特性。

FPGA(FieldProgrammableGateArry)即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,不仅仅解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

1.2.2FPGA体系结构

FPGA采用逻辑单元阵列(LCA)新概念,内部包括可配置逻辑模块(CLB)、输入输出模块(IOB)和内部互连资源(IR)三部分组成。

1)可配置逻辑块(CLB,ConfigurableLogicBlock):

是FPGA的主要组成部分,主要是由数据选择器、触发器、逻辑函数发生器等主要组件组成。

2)输入输出模块(IOB,InputOutputBlock)提供了器件引脚和内部逻辑阵列之间的连接,主要是由输出缓冲器、输入缓冲器、输入触发器和输出触发、锁存器组成。

3)可编程互连资源(IR,InterconnectResources)能够使FPGA内部的CLB与CLB、CLB与IOB连接起来,这样就能够构成各种各样据用不同功能的复杂系统,而IR由很多的金属线段组成,这样的金属线段具有可编程的开关

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1