FPGA培训资料1602Word文档格式.docx

上传人:b****6 文档编号:16018362 上传时间:2022-11-17 格式:DOCX 页数:20 大小:369.69KB
下载 相关 举报
FPGA培训资料1602Word文档格式.docx_第1页
第1页 / 共20页
FPGA培训资料1602Word文档格式.docx_第2页
第2页 / 共20页
FPGA培训资料1602Word文档格式.docx_第3页
第3页 / 共20页
FPGA培训资料1602Word文档格式.docx_第4页
第4页 / 共20页
FPGA培训资料1602Word文档格式.docx_第5页
第5页 / 共20页
点击查看更多>>
下载资源
资源描述

FPGA培训资料1602Word文档格式.docx

《FPGA培训资料1602Word文档格式.docx》由会员分享,可在线阅读,更多相关《FPGA培训资料1602Word文档格式.docx(20页珍藏版)》请在冰豆网上搜索。

FPGA培训资料1602Word文档格式.docx

DDRAM地址与显示位置的对应关系

(事实上我们往DDRAM里的00H地址处送一个数据,譬如0x31(数字1的代码)并不能显示1出来。

这是一个令初学者很容易出错的地方,原因就是如果你要想在DDRAM的00H地址处显示数据,则必须将00H加上80H,即80H,若要在DDRAM的01H处显示数据,则必须将01H加上80H即81H。

依次类推。

大家看一下控制指令的的8条:

DDRAM地址的设定,即可以明白是怎么样的一回事了) 

1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,如下表所示,这些字符有:

阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”

上表中的字符代码与我们PC中的字符代码是基本一致的。

因此我们在向DDRAM写C51字符代码程序时甚至可以直接用P1='

A'

这样的方法。

PC在编译时就把“A”先转为41H代码了。

字符代码0x00~0x0F为用户自定义的字符图形RAM(对于5X8点阵的字符,可以存放8组,5X10点阵的字符,存放4组),就是CGRAM了。

后面我会详细说的。

0x20~0x7F为标准的ASCII码,0xA0~0xFF为日文字符和希腊文字符,其余字符码(0x10~0x1F及0x80~0x9F)没有定义。

那么如何对DDRAM的内容和地址进行具体操作呢,下面先说说HD44780的指令集及其设置说明,请浏览该指令集,并找出对DDRAM的内容和地址进行操作的指令。

共11条指令:

1.清屏指令

功能:

<

1>

清除液晶显示器,即将DDRAM的内容全部填入"

空白"

的ASCII码20H;

2>

光标归位,即将光标撤回液晶显示屏的左上方;

3>

将地址计数器(AC)的值设为0。

2.光标归位指令

把光标撤回到显示器的左上方;

把地址计数器(AC)的值设置为0;

保持DDRAM的内容不变

3.进入模式设置指令

设定每次定入1位数据后光标的移位方向,并且设定每次写入的一个字符是否移动。

参数设定的情况如下所示:

位名 

设置

I/D 

0=写入新数据后光标左移 

1=写入新数据后光标右移

0=写入新数据后显示屏不移动

1=写入新数据后显示屏整体右移1个字

4.显示开关控制指令

控制显示器开/关、光标显示/关闭以及光标是否闪烁。

参数设定的情况如下:

0=显示功能关 

1=显示功能开

0=无光标 

1=有光标

0=光标闪烁 

1=光标不闪烁

5.设定显示屏或光标移动方向指令

使光标移位或使整个显示屏幕移位。

S/C 

R/L 

设定情况

光标左移1格,且AC值减1

光标右移1格,且AC值加1

显示器上字符全部左移一格,但光标不动

显示器上字符全部右移一格,但光标不动

6.功能设定指令

设定数据总线位数、显示的行数及字型。

DL 

0=数据总线为4位

1=数据总线为8位

0=显示1行

1=显示2行

0=5×

7点阵/每字符 

1=5×

10点阵/每字符

7.设定CGRAM地址指令

设定下一个要存入数据的CGRAM的地址。

8.设定DDRAM地址指令

(注意这里我们送地址的时候应该是0x80+Address,这也是前面说到写地址命令的时候要加上0x80的原因)

9.读取忙信号或AC地址指令

读取忙碌信号BF的内容,BF=1表示液晶显示器忙,暂时无法接收单片机送来的数据或指令;

当BF=0时,液晶显示器可以接收单片机送来的数据或指令;

读取地址计数器(AC)的内容。

10.数据写入DDRAM或CGRAM指令一览

将字符码写入DDRAM,以使液晶显示屏显示出相对应的字符;

将使用者自己设计的图形存入CGRAM。

11.从CGRAM或DDRAM读出数据的指令一览

读取DDRAM或CGRAM中的内容。

基本操作时序:

读状态 

输入:

RS=L,RW=H,E=H 

输出:

DB0~DB7=状态字

写指令 

RS=L,RW=L,E=下降沿脉冲,DB0~DB7=指令码

输出:

读数据 

RS=H,RW=H,E=H 

DB0~DB7=数据

写数据 

RS=H,RW=L,E=下降沿脉冲,DB0~DB7=数据

例1VHDL控制1602液晶显示英文字符,第一行显示,从A~P,学习体会如何写入控制指令,写入数据指令,学习如何在指定位置显示字符。

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityLCD1602is

Port(CLK:

instd_logic;

Reset:

LCD_RS:

outstd_logic;

--寄存器选择信号

LCD_RW:

--液晶读写信号

LCD_EN:

--液晶时钟信号

LCD_Data:

outstd_logic_vector(7downto0));

--液晶数据信号

endLCD1602;

architectureBehavioralofLCD1602is

typestateis(set_dlnf,set_cursor,set_dcb,set_cgram,write_cgram,set_ddram,write_LCD_Data);

signalCurrent_State:

state;

signalClk_Out:

std_logic;

signalLCD_Clk:

begin

process(CLK)--分频进程,CLK输入,CLK_Out输出,50MHz输入,125Hz输出,8ms

variablen1:

integerrange0to199999;

begin

ifrising_edge(CLK)then

ifn1<

199999thenn1:

=n1+1;

elsen1:

=0;

Clk_Out<

=notClk_Out;

endif;

endprocess;

LCD_RW<

='

0'

;

--RW='

;

写入设置

LCD_EN<

=Clk_Out;

--用125Hz作为LCD的使能,也作为LCD的时钟

LCD_Clk<

=Clk_Out;

--用125Hz作为LCD的时钟

process(LCD_Clk,Reset,Current_State)--状态机控制显示

variablecnt1:

std_logic_vector(4downto0):

="

00000"

begin

ifReset='

thenCurrent_State<

=set_dlnf;

--Reset就清屏,Reset接出用一个按钮作为复位按键即可,按下就为'

复位。

cnt1:

11110"

LCD_RS<

='

elsifrising_edge(LCD_Clk)thenCurrent_State<

=Current_State;

LCD_RS<

caseCurrent_Stateis

whenset_dlnf=>

LCD_Data<

00000001"

--/*清除显示*/清屏指令

Current_State<

=set_

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 幼儿教育 > 幼儿读物

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1