ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:369.69KB ,
资源ID:16018362      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/16018362.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(FPGA培训资料1602Word文档格式.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

FPGA培训资料1602Word文档格式.docx

1、 DDRAM地址与显示位置的对应关系 (事实上我们往DDRAM里的00H地址处送一个数据,譬如0x31(数字1的代码)并不能显示1出来。这是一个令初学者很容易出错的地方,原因就是如果你要想在DDRAM的00H地址处显示数据,则必须将00H加上80H,即80H,若要在DDRAM的01H处显示数据,则必须将01H加上80H即81H。依次类推。大家看一下控制指令的的8条:DDRAM地址的设定,即可以明白是怎么样的一回事了) 1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,如下表所示,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符

2、都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A” 上表中的字符代码与我们PC中的字符代码是基本一致的。因此我们在向DDRAM写C51字符代码程序时甚至可以直接用P1A这样的方法。PC在编译时就把“A”先转为41H代码了。 字符代码0x000x0F为用户自定义的字符图形RAM(对于5X8点阵的字符,可以存放8组,5X10点阵的字符,存放4组),就是CGRAM了。后面我会详细说的。 0x200x7F为标准的ASCII码,0xA00xFF为日文字符和希腊文字符,其余字符码(0x100x1F及0x8

3、00x9F)没有定义。 那么如何对DDRAM的内容和地址进行具体操作呢,下面先说说HD44780的指令集及其设置说明,请浏览该指令集,并找出对DDRAM的内容和地址进行操作的指令。共11条指令:1.清屏指令功能: 清除液晶显示器,即将DDRAM的内容全部填入空白的ASCII码20H;2 光标归位,即将光标撤回液晶显示屏的左上方;3 将地址计数器(AC)的值设为0。2.光标归位指令 把光标撤回到显示器的左上方; 把地址计数器(AC)的值设置为0; 保持DDRAM的内容不变3.进入模式设置指令设定每次定入1位数据后光标的移位方向,并且设定每次写入的一个字符是否移动。参数设定的情况如下所示:位名设置

4、I/D0=写入新数据后光标左移1=写入新数据后光标右移 S0=写入新数据后显示屏不移动1=写入新数据后显示屏整体右移1个字4.显示开关控制指令控制显示器开/关、光标显示/关闭以及光标是否闪烁。参数设定的情况如下: D0=显示功能关 1=显示功能开 C0=无光标 1=有光标 B0=光标闪烁 1=光标不闪烁5.设定显示屏或光标移动方向指令使光标移位或使整个显示屏幕移位。S/C R/L设定情况0 0光标左移1格,且AC值减1 1光标右移1格,且AC值加11显示器上字符全部左移一格,但光标不动显示器上字符全部右移一格,但光标不动6.功能设定指令设定数据总线位数、显示的行数及字型。 DL0=数据总线为4

5、位1=数据总线为8位 N0=显示1行1=显示2行 F0=57点阵/每字符1=510点阵/每字符7.设定CGRAM地址指令设定下一个要存入数据的CGRAM的地址。8.设定DDRAM地址指令(注意这里我们送地址的时候应该是0x80+Address,这也是前面说到写地址命令的时候要加上0x80的原因)9.读取忙信号或AC地址指令 读取忙碌信号BF的内容,BF=1表示液晶显示器忙,暂时无法接收单片机送来的数据或指令;当BF=0时,液晶显示器可以接收单片机送来的数据或指令; 读取地址计数器(AC)的内容。10.数据写入DDRAM或CGRAM指令一览 将字符码写入DDRAM,以使液晶显示屏显示出相对应的字

6、符; 将使用者自己设计的图形存入CGRAM。11.从CGRAM或DDRAM读出数据的指令一览读取DDRAM或CGRAM中的内容。基本操作时序:读状态 输入:RS=L,RW=H,E=H输出:DB0DB7=状态字写指令RS=L,RW=L,E=下降沿脉冲,DB0DB7=指令码 输出:无读数据RS=H,RW=H,E=HDB0DB7=数据写数据RS=H,RW=L,E=下降沿脉冲,DB0DB7=数据例1 VHDL控制1602液晶显示英文字符,第一行显示,从AP,学习体会如何写入控制指令,写入数据指令,学习如何在指定位置显示字符。library IEEE;use IEEE.STD_LOGIC_1164.AL

7、L;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity LCD1602 is Port ( CLK : in std_logic; Reset : LCD_RS : out std_logic; -寄存器选择信号 LCD_RW : -液晶读写信号 LCD_EN : -液晶时钟信号 LCD_Data : out std_logic_vector(7 downto 0); -液晶数据信号end LCD1602;architecture Behavioral of LCD1602 istype state is (s

8、et_dlnf,set_cursor,set_dcb,set_cgram,write_cgram,set_ddram,write_LCD_Data);signal Current_State:state;signal Clk_Out : std_logic;signal LCD_Clk :beginprocess(CLK)-分频进程,CLK输入,CLK_Out输出,50MHz输入,125Hz输出,8ms variable n1:integer range 0 to 199999; begin if rising_edge(CLK) then if n1199999 then n1:=n1+1;

9、 else n1:=0; Clk_Out=not Clk_Out; end if;end process;LCD_RW = 0 ; -RW=;写入设置LCD_EN = Clk_Out ; -用125Hz作为LCD的使能,也作为LCD的时钟LCD_Clk = Clk_Out; -用125Hz作为LCD的时钟process(LCD_Clk,Reset,Current_State) -状态机控制显示variable cnt1: std_logic_vector(4 downto 0):=00000 begin if Reset=then Current_State=set_dlnf; - Reset就清屏,Reset接出用一个按钮作为复位按键即可,按下就为复位。 cnt1:11110 LCD_RS= elsif rising_edge(LCD_Clk) then Current_State = Current_State ; LCD_RS LCD_Data00000001 - /*清除显示*/ 清屏指令 Current_State=set_

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1