基于FPGA的数字频率计Word格式文档下载.docx

上传人:b****5 文档编号:15962069 上传时间:2022-11-17 格式:DOCX 页数:20 大小:252.50KB
下载 相关 举报
基于FPGA的数字频率计Word格式文档下载.docx_第1页
第1页 / 共20页
基于FPGA的数字频率计Word格式文档下载.docx_第2页
第2页 / 共20页
基于FPGA的数字频率计Word格式文档下载.docx_第3页
第3页 / 共20页
基于FPGA的数字频率计Word格式文档下载.docx_第4页
第4页 / 共20页
基于FPGA的数字频率计Word格式文档下载.docx_第5页
第5页 / 共20页
点击查看更多>>
下载资源
资源描述

基于FPGA的数字频率计Word格式文档下载.docx

《基于FPGA的数字频率计Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《基于FPGA的数字频率计Word格式文档下载.docx(20页珍藏版)》请在冰豆网上搜索。

基于FPGA的数字频率计Word格式文档下载.docx

该设计方案通过了QuartusⅡ软件仿真、硬件调试和软硬件综合测试。

 

2总体方案设计

2.1方案比较:

方案一:

本方案是利用电路的频率响应特性来测量频率值。

任何具有适当频率响应特性的可调无源网络都可用来测量频率值。

测频方法:

谐振测频法:

利用谐振回路测量高频(微波)信号的频率值(图2.1.2)。

调节C使回路在被测频率值上谐振,此时,可得到被测频率值fx

图2.2谐振法测频工作原理图

显示方法:

CPLD直接输出控制显示 

,本设计采用双色(红色和绿色)8*8LED点阵作为终端显示器件,在CPLD的ROM数据控制下,8*8LED点阵的每个像素点能产生红色、绿色、$(红绿混合色),能够再现颜色的多样化。

由于一般的I/O的驱动能力是有限的,CPLD中的ROM输出的显示数据需要经过驱动电路后送至8*8LED点阵的行选端(阳极),列选线(阴极)则受74HC138输出的低电平译码信号的控制。

方案二

测频方法:

CPLD测频:

CPLD作为一种新型的可编程逻辑器件,具有集成度高、逻辑电路设计方便灵活、可靠性好、工作速度快等特点,

显示方法:

由单片机产生控制时序,通过总线送给CPLD再显示

2.2方案论证:

本方案主要对频率的模拟测量:

测频电桥是测量低频信号的频率值,谐振测量是利用谐振回路来实现对高频信号的测量。

具体实现是通过调节图2.1.2中的C使回路在被测频率值上谐振,此时便可得到待测的频率值。

然后在CPLD直接输出控制显示来控制输出部分。

方案二:

2.3方案选择

3单元模块电路设计

3.1电路设计总体框图

本设计主要由8个部分组成,以CPLD芯片部分为核心展开,待测信号输入,由外部电源,复位电路,单片机电路,液晶显示,标准时钟以及JTAG下载各个单元配合起来实现测试频率并在液晶显示屏上实时显示出数字频率信号。

图3.1电路设计总体框图

3.2标准时钟(100MHz)产生部分

本部分采用100M的有源晶振,因为有源晶振不需要DSP的内部振荡器,信号质量好,比较稳定,而且连接方式相对简单(主要是做好电源滤波,通常使用一个电容和电感构成的PI型滤波网络,输出端用一个小阻值的电阻过滤信号即可),不需要复杂的配置电路。

有源晶振通常的用法:

一脚悬空,二脚接地,三脚接输出,四脚接电压。

相对于无源晶体,有源晶振的缺陷是其信号电平是固定的,需要选择好合适输出电平,灵活性较差,而且价格高。

对于时序要求敏感的应用,个人认为还是有源的晶振好。

R204

图3.2标准100M信号的产生电路

3.3CPLD程序下载。

Altera器件编程下载电缆有:

ByteBlaster并行下载电缆,ByteBlasterMV并行下载电缆等等。

本设计采用的是ByteBlaster并行下载电缆,它具有与PC机25针标准并行口相连的接口。

通过PC机标准并行口在线编程MAX7000S(EPM7064SLC44-10)。

与PCB电路板相连的是10针插座。

具体原理图如图3.3:

图3.3CPLD程序下载接口

注:

上图中TCK为时钟;

TDO为器件输出到数据;

TMS为JTAG状态机控制;

TDI为配置到器件的数据。

JTAG各个接口与EPM570T144C5N相应接口相连,实现数据的下载。

3.4数字液晶显示部分。

本设计用液晶显示屏显示被测量的频率值。

设计通过单片机产生控制时序,然后通过总线送给CPLD,然后通过CPLD送出数据通过接插件JP501直接连接液晶显示屏显示数字频率。

如图3.4.1

CPLD的LCD_D[7..0]作为总线通过与外部接插件连接,实现与液晶显示屏相连。

LCD_DD0-LCD_DD7,以及LCD_RES_X0-LCD_RES_X3、LCD_RESET、片选线等等外部都连接一上拉电阻。

如图3.4.2所示。

图3.4.1液晶显示1

图3.4.2上拉电阻

3.5测频复位电路。

设计要求在测试频率过程中可以随时按照需要复位显示频率,被测频率可以重新测试。

如图3.5所示,按键K302-K306与总线KEY[4..0]相连。

当按键K303按下后,KEY1得到一个低电平,然后CPLD分析得出结论将液晶显示屏复位,等待重新测试新的频率信号。

图3.5测频复位电路

3.6核心部分(EPM570T1445N器件简介)

图3.6EPM570T1445N器件的管脚图

EPM570T1445N器件属于Altera公司MAX7000S系列,EPM570T144C5N器件包含一个二维行和列的架构实现自定义逻辑。

行和列的互连提供信号互连之间的逻辑阵列块(实验室)。

逻辑阵列组成的实验室,10个逻辑单元,在每个实验室(LE)之间。

一个LE是一小单位逻辑用户提供逻辑功能的有效实施。

实验室分为行和列上的设备。

多轨互连实验室提供快速颗粒之间的时间延迟。

括约肌之间的快速路由提供最低的时间延迟逻辑电平的增加与全球路由互连结构。

MAXII器件的I/O引脚由我I/O单元(雇主组织)在劳工顾问委员会的目的所在行和列周围设备的边缘。

每个雇主组织包含一个双向I/O缓冲区的多种高级功能。

I/O引脚支持施密特触发输入和各种单端标准,例如66兆赫,32位PCI,和LVTTL等级。

MAXII器件提供了一个全局时钟网络。

全球时钟网络的组成4全局时钟线,在整个整个设备驱动器,提供对所有时钟内资源的设备。

全球时钟线也可用于控制信号如明确,预设,或输出使能。

如图为EPM570T1445N的逻辑阵列块。

每个MAXII器件包含一个在其平面图闪存块。

在EPM240设备,此区块位于设备的左侧。

在的EPM570,EPM1270和EPM2210器件,快闪记忆体区块位于左下区的设备。

该闪存大部分分区的专用配置闪存(CFM)的块。

采用CFM块提供了非易失性存储的SRAM配置的所有信息。

采用CFM自动下载并配置逻辑和I/O上电时,提供即时的行动。

f在有关配置上电时的信息,请参阅热插拔和上电的MAXII器件章重置了MAXII器件手册。

一个闪存在MAXII器件部分划分为一个小用户数据块。

这个用户快闪记忆体(UFM)的块提供了8,192位通用用户存储。

该超滤膜提供可编程端口连接对阅读和写作逻辑阵列。

劳有三个相邻的行本块,列由设备与人数不等。

表2-1显示了每个设备的行和列数,以及行和列毗邻地区的快闪记忆体数量的EPM570,EPM1270和EPM2210器件。

长排满行延长从一排I/O块到其他。

简短的行毗邻超滤膜块,其长度是在列的宽度显示。

每一个LAB包括驱动控制信号LES的专用逻辑。

控制信号包括两个时钟,两个时钟使能,两个异步清除,1同步清除,异步预置/负载,同步载荷,加/减控制信号,提供了一次10个控制信号最大。

虽然同步负载和清除的信号通常用于执行时计数,也可以用于其他功能。

每个LAB可以使用两个时钟,两个时钟使能信号。

每个LAB的时钟和

时钟使能信号联系。

例如,任何一个LE在一个特定的LAB场合时使用labclk1信号也使用labclkena1。

如果同时使用LAB时钟上升沿和下降沿,它也同时使用LAB全时钟信号。

Deasserting时钟,使能信号关闭,LAB宽的时钟。

每个LAB可以使用两个异步明确信号,异步加载/预置信号。

默认情况下,QuartusII软件使用非门的回推技术,实现预设。

如果您禁用非门推回选项或指定某一登记电力成立的高科技使用QuartusII软件,预设然后通过使用该异步异步加载数据输入负荷信号并列高。

最小的逻辑了MAXII结构单位是LES,它结构紧凑,提供高效利用先进的逻辑功能。

每个LES包含4输入LUT,这是一个函数发生器,可以实现任何四个变量的函数。

另外,每个lES包含一个可编程的登记和进位链选择能力。

单一LES还支持动态的单位加法或减法模式LAB选择一个全控制信号。

每个LES驱动所有类型的互连:

局部的,行,列,LUT链,显示链和DirectLink互连。

每个LE的可编程寄存器可配置为D,T,JK,或SR操作。

每个寄存器有数据,真正的异步加载数据,时钟,时钟使能,清除,异步加载/预设的投入。

全球信号,通用I/O引脚,或任何LE’S可驱动登记的时钟和明确的控制信号。

无论通用的I/O引脚或括约肌可以驱动时钟使能,预设,异步加载,和异步数据。

异步加载数据输入来自为LE’S输入的data3。

为了组合功能,LUT的输出绕过登记和直接驱动的LE产出。

每个LE有三个输出,推动本地,行和列的布线资源。

那个或注册LUT的输出可以驱动这三个独立的产出。

双LE产出驱动器列或行和DirectLink路由连接和一个本地驱动器互连资源。

这使得LUT的一个输出驱动,而寄存器驱动器的另一个输出。

这个寄存器包装功能改善了设备的利用率因为该设备可以使用选民登记册和功能,用户终端无关。

另外的特殊包装模式允许寄存器的输出反馈到同一LUT的回LE以便登记的包装有自己的扇出LUT的。

这提供了另机制改善配件。

3.7电源部分

CPLD芯片工作电压在3.3V,单片机工作电压为5V。

所以,在要求进行电压转换时,用到芯片LM1117进行5V电压到3.3V电压。

3.8单片机部分

3.8.1STC12_LQFP芯片介绍

如图3.8.1所示,STC12系列单片机为增强型8051芯片,1T,单时钟/机器周期,指令代码完全兼容传统8051单片机。

通用I/O口(27/23/15个),复位后为:

准双向口/弱上拉(普通8051传统I/O口)可设置成四种模式:

准双向口/弱上拉,推挽/强上拉,仅为输入/高阻,开漏每个I/O口驱动能力均可达到20mA,但整个芯片最大不得超过55mA。

ISP(在系统可编程)/IAP(在应用可编程),无需专用编程器,无需专用仿真器可通过串口(P3.0/P3.1)直接下载用户程序,数秒即可完成一片,EEPROM功能,看门狗定时器内部集成MAX810专用复位电路(外部晶体20M以下时,可省外部复位电路)。

时钟源:

外部高精度晶体/时钟,内部R/C振荡器

用户在下载用户程序时,可选择是使用内部R/C振荡器还是外部晶体/时钟

常温下内部R/C振荡器频率为:

5.2MHz~6.8MHz精度

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 总结汇报 > 其它

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1