汽车尾灯控制器的设计Word格式文档下载.docx

上传人:b****4 文档编号:15926392 上传时间:2022-11-17 格式:DOCX 页数:15 大小:93.85KB
下载 相关 举报
汽车尾灯控制器的设计Word格式文档下载.docx_第1页
第1页 / 共15页
汽车尾灯控制器的设计Word格式文档下载.docx_第2页
第2页 / 共15页
汽车尾灯控制器的设计Word格式文档下载.docx_第3页
第3页 / 共15页
汽车尾灯控制器的设计Word格式文档下载.docx_第4页
第4页 / 共15页
汽车尾灯控制器的设计Word格式文档下载.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

汽车尾灯控制器的设计Word格式文档下载.docx

《汽车尾灯控制器的设计Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《汽车尾灯控制器的设计Word格式文档下载.docx(15页珍藏版)》请在冰豆网上搜索。

汽车尾灯控制器的设计Word格式文档下载.docx

2.2硬件描述语言(VHDL)2

2.2.1VHDL简介2

2.2.2VHDL语言的特点3

3汽车尾灯控制器的设计过程3

3.1系统需求分析3

3.2汽车尾灯控制器的工作原理3

3.3各组成模块原理及程序4

3.3.1时钟分频模块5

3.3.2汽车尾灯主控模块5

3.3.3左边灯控制模块6

3.3.4右边灯控制模块6

4系统仿真7

4.1分频模块仿真及分析7

4.2汽车尾灯主控模块仿真及分析8

4.3左边灯控制模块仿真及分析8

4.4右边灯控制模块仿真及分析9

4.5整个系统仿真及分析9

结束语11

致谢12

参考文献13

附录14

1引言

随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。

现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。

在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。

1.1设计的目的

本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。

以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。

通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。

1.2设计的基本内容

根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA工具对各模块进行仿真验证。

汽车尾灯控制器的设计分为4个模块:

时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。

把各个模块整合后就形成了汽车尾灯控制器。

通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。

2EDA、VHDL简介

2.1EDA技术

2.1.1EDA技术的概念

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

2.1.2EDA技术的特点

利用EDA技术进行电子系统的设计,具有以下几个特点:

①用软件的方式设计硬件;

②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;

③设计过程中可用有关软件进行各种仿真;

④系统可现场编程,在线升级;

⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。

因此,EDA技术是现代电子设计的发展趋势。

2.1.3EDA设计流程

典型的EDA设计流程如下:

1、文本/原理图编辑与修改。

首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。

  2、编译。

完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。

  3、综合。

将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。

  4、行为仿真和功能仿真。

利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。

  5、适配。

利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。

适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。

  6、功能仿真和时序仿真。

7、下载。

如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。

8、硬件仿真与测试。

2.2硬件描述语言(VHDL)

2.2.1VHDL简介

VHDL(Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage)主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

2.2.2VHDL语言的特点

1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。

2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。

3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。

4.可以进行从系统级到逻辑级的描述,即混合描述。

5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。

3汽车尾灯控制器的设计过程

3.1系统需求分析

根据现代交通规则,汽车尾灯控制器应满足以下基本要求:

1.汽车正常使用是指示灯不亮

2.汽车右转时,右侧的一盏灯亮

3.汽车左转时,左侧的一盏灯亮

4.汽车刹车时,左右两侧的指示灯同时亮

5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用

3.2汽车尾灯控制器的工作原理

汽车尾灯控制器就是一个状态机的实例。

当汽车正常行驶时所有指示灯都不亮;

当汽车向右转弯时,汽车右侧的指示灯RD1亮;

当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;

当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;

当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。

通过设置系统的输入信号:

系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:

汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、RD3实现以上功能。

系统的整体组装设计原理如图3.1所示。

图3.1系统的整体组装设计原理

3.3各组成模块原理及程序

汽车尾灯控制器有4个模块组成,分别为:

时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。

3.3.1时钟分频模块

整个时钟分频模块的工作框图如图3.2所示。

图3.2时钟分频模块工作框图

时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTUREARTOFSZIS

SIGNALCOUNT:

STD_LOGIC_VECTOR(7DOWNTO0);

BEGIN

PROCESS(CLK)

BEGIN

IFCLK'

EVENTANDCLK='

1'

THEN

COUNT<

=COUNT+1;

ENDIF;

ENDPROCESS;

CP<

=COUNT(3);

ENDART;

3.3.2汽车尾灯主控模块

汽车尾灯主控模块工作框图如图3.3所示。

.

图3.3主控模块工作框图

汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTUREARTOFCTRLIS

BEGIN

NIGHT_LED<

=NIGHT;

BRAKE_LED<

=BAKE;

PROCESS(LEFT,RIGHT)

VARIABLETEMP:

STD_LOGIC_VECTOR(1DOWNTO0);

TEMP:

=LEFT&

RIGHT;

CASETEMPIS

WHEN"

00"

=>

LP<

='

0'

;

RP<

LR<

01"

10"

WHENOTHERS=>

ENDCASE;

3.3.3左边灯控制模块

左边灯控制模块的工作框图如图3.4所示。

图3.4左边灯控制模块的工作框图

左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTUREARTOFLCIS

LEDB<

=BRAKE;

LEDN<

PROCESS(CLK,LP,LR)

THEN

IF(LR='

)THEN

IF(LP='

LEDL<

ELSE

LEDL<

ENDPROCESS;

3.3.4右边灯控制模块

右边灯控制模块的工作框图如图3.5所示。

图3.5右边灯控制模块的工作框图

右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTUREARTOFRCIS

PROCESS(CLK,RP,LR)

IF(LR='

IF(RP='

LEDR<

='

4系统仿真

4.1分频模块仿真及分析

分频模块由VHDL程序实现后,其仿真图如图4.1所示。

图4.1分频模块仿真图

对其仿真图进行仿真分析:

如图所示,首先生成一个600ns的时钟脉冲,通过时钟分频把600ns的脉冲分成一个40ns的脉冲,实现了信号同步。

4.2汽车尾灯主控模块仿真及分析

汽车尾灯主控模块由VHDL程序实现后,其仿真图如图4.2所示。

图4.2主控模块时序仿真图

对时序仿真图进行分析:

RIGHT,LEFT,NIGHT,BRAKE为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1