重EDA技术课程设计报告Word下载.docx

上传人:b****6 文档编号:15917304 上传时间:2022-11-17 格式:DOCX 页数:21 大小:936.54KB
下载 相关 举报
重EDA技术课程设计报告Word下载.docx_第1页
第1页 / 共21页
重EDA技术课程设计报告Word下载.docx_第2页
第2页 / 共21页
重EDA技术课程设计报告Word下载.docx_第3页
第3页 / 共21页
重EDA技术课程设计报告Word下载.docx_第4页
第4页 / 共21页
重EDA技术课程设计报告Word下载.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

重EDA技术课程设计报告Word下载.docx

《重EDA技术课程设计报告Word下载.docx》由会员分享,可在线阅读,更多相关《重EDA技术课程设计报告Word下载.docx(21页珍藏版)》请在冰豆网上搜索。

重EDA技术课程设计报告Word下载.docx

1.基本原理

洗衣机控制器的设计主要是定时器的设计。

由一片FPGA和外围电路构成了电器控制部分。

FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。

对芯片的编程采用模块化的VHDL(硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。

顶层和中间层多数是由VHDL的元件例化语句实现。

中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。

定时到

2.设计框图

停止

暂停10s

反转20s

正转20s

定时启动

定时没到

图1设计框图

用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。

3、模块设计和相应模块代码

洗衣机控制器电路主要有五大部分组成,包括:

减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。

(1)预设时间和编码电路:

本模块将输入的四位时间信号编码成八位二进制数输出到减法计数器电路。

library 

ieee;

use 

ieee.std_logic_1164.all;

ieee.std_logic_unsigned.all;

entity 

settime 

is 

port 

( 

load:

in 

std_logic;

time_input:

std_logic_vector(3 

downto 

0);

time_set:

out 

std_logic_vector(7 

0)

);

end 

settime;

architecture 

of 

 

signal 

p1:

begin 

process(load) 

if(load'

event 

and 

load='

1'

) 

then 

case 

time_input 

when 

"

0000"

=>

p1<

="

00000000"

;

0001"

00000001"

0010"

00000010"

when 

0011"

00000011"

0100"

00000100"

0101"

00000101"

0110"

00000110"

0111"

00000111"

1000"

00001000"

1001"

00001001"

others=>

case;

if;

process;

time_set<

=p1;

图2

图3预设时间和编码仿真

用K1、K2、K3、K4给time_input输入一个二进制数0111,让load有效,输出time_set为00000111。

(2)减法计数器模块:

由于洗衣机有工作时间,必须要一模块来控制它的工作时间范围,当洗衣机开始工作后,减法计数器即会实现减数功能,直到时间减到零,洗衣机便停止工作。

当出现系统运行结束信号time_over时,蜂鸣器报警洗衣机工作结束。

counter 

clk,start:

time_remain:

buffer 

time_over:

std_logic 

counter;

process(clk)

variable 

time_second:

integer 

range 

to 

59 

:

=59;

if(clk'

clk='

then 

if(start='

0'

if(time_remain(7 

0)=0)

time_remain<

=time_set;

else 

time_remain(7 

4)<

=time_remain(3 

time_remain(3 

0)<

=time_set(3 

time_over<

='

if(time_over='

if(time_second=0 

if(time_second=0) 

if(time_remain(3 

0)=0) 

then

=time_remain(7 

4)-1;

4);

time_remain(3 

0)-1;

end 

=time_second-1;

图4

图5减法计数器模块源仿真

(3)数码管显示模块:

根据课程设计要求,必须将洗衣机的工作状态及工作时间在数码管和指示灯上显示出来,此模块是用来控制洗衣机的工作状态及工作的频率,并把工作状态及工作时间显示出来。

a,b,c,d,e,f,g分别对应数码管的七段,minute和second分别位选两个数码管,显示十位和个位。

showtime 

is

clk:

minute,second:

a,b,c,d,e,f,g:

showtime;

temp:

std_logic_vector(6 

bcd:

choose:

process(clk)

choose<

=not 

choose;

if(choose='

minute<

second<

bcd<

process(bcd)

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 动物植物

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1