VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt

上传人:b****2 文档编号:15553120 上传时间:2022-11-04 格式:PPT 页数:158 大小:2.38MB
下载 相关 举报
VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt_第1页
第1页 / 共158页
VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt_第2页
第2页 / 共158页
VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt_第3页
第3页 / 共158页
VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt_第4页
第4页 / 共158页
VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt_第5页
第5页 / 共158页
点击查看更多>>
下载资源
资源描述

VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt

《VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt》由会员分享,可在线阅读,更多相关《VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt(158页珍藏版)》请在冰豆网上搜索。

VHDL与数字集成电路设计VHDL-1-1PPT格式课件下载.ppt

采用计算机工具实现数字集成电路的自动化设计;

利用计算机语言表达电路设计思想;

利用计算机实现电路的综合与仿真;

引言引言为什么采用电子设计自动化?

为什么采用电子设计自动化?

电路系统的集成化:

成本、功耗、可靠性电路系统的集成化:

成本、功耗、可靠性集成电路的单片化:

不再由分立器件进行组装,需集成电路的单片化:

不再由分立器件进行组装,需要在芯片上进行版图设计实现;

要在芯片上进行版图设计实现;

超大规模系统的集成:

单芯片上需要集成海量基本超大规模系统的集成:

单芯片上需要集成海量基本单元。

单元。

VHDL与数字集成电路设计(引言)与数字集成电路设计(引言)HDLFPGAASIC数字集成电路数字集成电路什么是什么是HDL?

HDL?

HHardware:

ardware:

硬件定制电路硬件定制电路DDescriptionescription:

对于电路设:

对于电路设计的描述计的描述LLanguageanguage:

在计算机上使:

在计算机上使用的工具用的工具引言在计算机平台上,利用语言程序,在计算机平台上,利用语言程序,宏观描述数字电路的行为。

宏观描述数字电路的行为。

什么是什么是FPGA?

FPGA?

FField:

ield:

现场现场PProgrammablerogrammable:

可编程:

可编程GGateate:

CMOSCMOS逻辑门逻辑门AArrayrray:

阵列:

阵列引言一种特殊的芯片,可允许用户对其进行编程,一种特殊的芯片,可允许用户对其进行编程,实现不同的功能。

实现不同的功能。

什么是什么是ASIC?

ASIC?

AApplication:

pplication:

应用应用SSpecificpecific:

特定:

特定IIntegratedntegrated:

集成:

集成CCircuitircuit:

电路:

电路引言ASIC即专用集成电路,包括模拟即专用集成电路,包括模拟ASIC和数字和数字ASIC,真正定义上的数字集成电路是指数字真正定义上的数字集成电路是指数字ASIC。

引言引言HDL,FPGA,ASIC之间有什么联系?

之间有什么联系?

FPGA,ASIC有什么区别?

有什么区别?

如何从如何从HDL走到走到ASIC,数字集成电路的设,数字集成电路的设计流程是什么?

计流程是什么?

引言引言模拟电路的各方面行为特性较为复杂,只能模拟电路的各方面行为特性较为复杂,只能用完整的电路图来表述而难以用简单的语言用完整的电路图来表述而难以用简单的语言来描述。

来描述。

数字信号完全是由数字信号完全是由1和和0组成,所以无需复杂组成,所以无需复杂的电路,用语言即可描述其行为。

的电路,用语言即可描述其行为。

HDL即硬件描述语言,用代码即可描述电路即硬件描述语言,用代码即可描述电路行为,避免使用复杂的电路。

行为,避免使用复杂的电路。

引言引言有了有了HDL描述的电路,将其烧录到描述的电路,将其烧录到FPGA中,即可实现其具体电中,即可实现其具体电路功能,如实现一个路功能,如实现一个CPU,单片机,单片机,DSP等等。

等等。

FPGA为可编程逻辑器件,所以可以根据为可编程逻辑器件,所以可以根据HDL语言的描述,实现语言的描述,实现各种数字电路,例如各种数字电路,例如FPGA可以直接作为单片机,可以直接作为单片机,DSP,CPU来来使用。

使用。

引言引言能否直接用能否直接用FPGA替代常见的数字集成电路,替代常见的数字集成电路,例如直接替换例如直接替换CPU,DSP?

成本过高!

解决方案:

ASIC通信芯片可直接使用通信芯片可直接使用FPGA通信业利润较高通信业利润较高通信协议更新较快通信协议更新较快引言引言利用计算机利用计算机EDA软件,可将软件,可将HDL代码转换为代码转换为CMOS逻辑门逻辑门电路,该过程叫做综合。

电路,该过程叫做综合。

有了有了CMOS电路,即可进行版图设计,送代工厂流片,封电路,即可进行版图设计,送代工厂流片,封装测试,形成数字集成电路产品。

装测试,形成数字集成电路产品。

由于该数字集成电路是由指定的由于该数字集成电路是由指定的HDL代码生成的固定电路,代码生成的固定电路,所以只能实现一种功能,不能像所以只能实现一种功能,不能像FPGA那样可根据编程来那样可根据编程来实现不同的功能,所以被称为数字专用集成电路,即数字实现不同的功能,所以被称为数字专用集成电路,即数字ASIC!

由于数字由于数字ASIC只保留实现特定功能所必须的电路,所以面只保留实现特定功能所必须的电路,所以面积将大幅降低,成本大幅缩减。

积将大幅降低,成本大幅缩减。

引言引言EDA设计总流程设计总流程HDL描述描述FPGA烧录烧录可应用于通信业的可应用于通信业的FPGAASIC原型验证原型验证综合为综合为CMOS电路电路版图设计版图设计代工厂加工,测试封装代工厂加工,测试封装成品成品ASIC引言引言电路综合:

电路综合:

将程序语言描述自动转化为由海量基本单元构成的将程序语言描述自动转化为由海量基本单元构成的单片数字集成系统(或其中的各类部件);

单片数字集成系统(或其中的各类部件);

基本单元可以由基本逻辑门表达(基本单元可以由基本逻辑门表达(ASICASIC设计),也设计),也可以由可编程逻辑单元表达(可以由可编程逻辑单元表达(FPGAFPGA设计);

设计);

综合目标:

成本(单元数量)、时间(延迟、频率)成本(单元数量)、时间(延迟、频率)引言引言ASICASIC综合:

集成面积综合:

集成面积引言引言FPGAFPGA综合:

使用的可编程单元数量综合:

使用的可编程单元数量引言引言电路的仿真:

电路的仿真:

通过在计算机中运行由硬件描述语言通过在计算机中运行由硬件描述语言(VHDL)(VHDL)表达的表达的电路程序,表现出电路中各器件的输入输出关系,表电路程序,表现出电路中各器件的输入输出关系,表现出信号在电路中的波形变化。

现出信号在电路中的波形变化。

仿真的目标:

检测电路输入输出关系是否实现?

检测电路的最高运算速度或频率?

引言引言数字系统的输入输出关系:

数字系统的输入输出关系:

状态的有限性状态的有限性状态变化的离散性状态变化的离散性输入变换决定输出变化输入变换决定输出变化引言引言系统时间延迟导致的问题系统时间延迟导致的问题输出状态的稳定性取决于系统延迟性能及输入变化频率输出状态的稳定性取决于系统延迟性能及输入变化频率引言引言本课程内容本课程内容了解硬件描述语言了解硬件描述语言(VHDL)(VHDL)的基本表达思想;

的基本表达思想;

了解数字集成电路的基本单元特点;

了解电路优化设计的基本方法;

理解硬件语言表达的原理与局限。

引言引言n本课程内容本课程内容n11VHDLVHDL对电路设计的基本描述对电路设计的基本描述n22数字集成电路的基本单元数字集成电路的基本单元n33组合逻辑的设计优化组合逻辑的设计优化n44基于运算单元的设计优化基于运算单元的设计优化n55基于基于FPGAFPGA的电路设计的电路设计教材(主要参考书)教材(主要参考书)DigitalDesignDigitalDesignPrinciples&

PracticesPrinciples&

Practices(第四版)(第四版)JohnF.WakerlyJohnF.Wakerly高等教育出版社高等教育出版社(20072007)引言引言教材(主要参考书)教材(主要参考书)电子设计自动化技术电子设计自动化技术(第二版)(第二版)李平李平等等编著编著电子科大出版社电子科大出版社(20142014)引言引言n1.11.1程序的结构程序的结构librarylibrary、entityentity、portportn1.21.2设计的设计的表达表达architecturearchitecture、signalsignaln1.31.3结构结构表达表达与运算表达与运算表达n1.41.4数字系统的数字系统的进程进程表达表达processprocessn第一章第一章VHDLVHDL对电路设计的基本描述对电路设计的基本描述VHDL与数字集成电路设计与数字集成电路设计VHDLVHDL表达的基本程序结构表达的基本程序结构1.11.1程序的结构程序的结构librarylibrary、entityentity、portport各种数据类型、运算函数、数各种数据类型、运算函数、数据库建设、元件据库建设、元件IPIP的汇总,用于的汇总,用于设计复用,支持交流共享。

设计复用,支持交流共享。

1.11.1程序的结构程序的结构librarylibrary、entityentity、portportlibraryieeeuseieee.std_logic_1164.all;

逻辑类型及运算逻辑类型及运算useieee.std_logic_arith.all;

算数类型及运算算数类型及运算useieee.std_logic_signed.all;

逻辑算数转换逻辑算数转换useieee.std_logic_unsigned.all;

逻辑算数转换逻辑算数转换useieee.std_logic_textio.all;

文本输入输出文本输入输出常用的公用资源常用的公用资源1.11.1程序的结构程序的结构librarylibrary、entityentity、portportnlibrary包集合使用的公用资源包集合使用的公用资源npackagemy_designisn数据类型、常量、元件、函数、过程的设置;

数据类型、常量、元件、函数、过程的设置;

nendmy_design;

npackagebodymy_designisn函数、过程的完整描述函数、过程的完整描述nendmy_design;

典型的复用共享资源:

包集合文件典型的复用共享资源:

包集合文件1.11.1程序的结构程序的结构librarylibrary、entityentity、portportlibraryieeeuseieee.std_logic_1164.all;

算数类型及运算算数类型及运算usework.my_design.all自定义资源及运算自定义资源及运算复用共享资源的调用复用共享资源的调用1.11.1程序的结构程序的结构librarylibrary、entityentity、portport自顶向下层次化设计的体现自顶向下层次化设计的体现entityentity与与componentcomponent形成对应,表达层次形成

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > IT计算机 > 电脑基础知识

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1