questasim基础入门Word格式文档下载.docx

上传人:b****2 文档编号:15100462 上传时间:2022-10-27 格式:DOCX 页数:13 大小:26.10KB
下载 相关 举报
questasim基础入门Word格式文档下载.docx_第1页
第1页 / 共13页
questasim基础入门Word格式文档下载.docx_第2页
第2页 / 共13页
questasim基础入门Word格式文档下载.docx_第3页
第3页 / 共13页
questasim基础入门Word格式文档下载.docx_第4页
第4页 / 共13页
questasim基础入门Word格式文档下载.docx_第5页
第5页 / 共13页
点击查看更多>>
下载资源
资源描述

questasim基础入门Word格式文档下载.docx

《questasim基础入门Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《questasim基础入门Word格式文档下载.docx(13页珍藏版)》请在冰豆网上搜索。

questasim基础入门Word格式文档下载.docx

在这一章里通过一些课程来简单介绍QuestaSim的使用方法,更多的需要在实际应用中熟练和掌握。

第一课CreateaProject

1.第一次打开QuestaSim会出现WelcometoQuestaSim对话框,选取CreateaProject,或者选取File\New\Project,然后会打开CreateProject对话框.

2.在CreateProject对话框中,填写test作为ProjectName;

选取路径ProjectLocation作为Project文件的存储目录;

保留DefaultLibraryName设置为work。

3.选取OK,会看到工作区出现ProjectandLibraryTab.

4.下一步是添加包含设计单元的文件,在工作区的Projectpage中,点击鼠标右键,选取AddFiletoProject.

5.在这次练习中我们加两个文件,点击AddFiletoProject对话框中的Browse按钮,打开QuestaSim安装路径中的example目录,选取counter。

v和tcounter.v,再选取Referencefromcurrentlocation,然后点击OK.

6.在工作区的Projectpage中,单击右键,选取CompileAll.

7.两个文件编译了,鼠标点击LibraryTab栏,将会看到两个编译了的设计单元列了出来。

看不到就要把Library的工作域设为work。

8.最后一不是导入一个设计单元,双击LibraryTab中的counter,将会出现SimTab,其中显示了counter设计单元的结构.也可以Design\Loaddesign来导入设计。

到这一步通常就开始运行仿真和分析,以及调试设计,不过这些工作在以后的课程中来完成.结束仿真选取Design\EndSimulation,结束Project选取File\Close\Project.

第二课BasicVHDLSimulation

准备仿真

1.为这次练习新建一个目录,然后拷贝example目录中所有的vhd文件到该目录下.设置该目录为当前工作目录,这一步通过从该目录调用QuestaSim或是选取File\ChangeDirectory命令来完成。

2.在编译任何HDL代码前,要建立一个设计库来存放编译结果。

选取Design\CreateaNewLibrary生成一个新的设计库。

确定选取Create:

anewlibraryandalogicalmappingtoit,在LibraryName域中键入work,然后选取OK。

这就在当前目录中建立了一个子目录,即你的设计库。

QuestaSim在这个目录中保存了名为_info的特殊文件。

(Prompt:

vlibwork

vmapworkwork)

3.选取工具栏里的Compile命令来编译counter.vhd文件到新库中。

这将打开CompileHDLSourceFiles对话框。

使用vcom命令是看不到的。

从列表中选取counter。

vhd再点击Compile,完成后选取Done。

可以编译多个文件,按照设计的需要依次选取进行编译。

(Prompt:

vcomcounter.vhd)

4.选取工具栏里的Loaddesign按钮,导入设计单元.Loaddesign对话框可以让你选择库和顶级(top-level)设计单元来仿真,你也可以为仿真选取SimulationResolution限制.这次仿真运行,下述是缺省的显示:

&

#8226;

SimulatorResolution:

default(thedefaultis1ns)

•

Library:

work

DesignUnit:

counter

如果设计单元是一个实体,你可以点击前面的加号,来浏览其关联的结构。

(Prompt:

vsimcounter)

5.选取counter,然后选择Load接受设置。

6.下面,选取View\All打开所有的窗口,关于窗口的描述,参阅QuestaSimUser’sManual.

view*)

7.在Signalswindow选取View\List\SignalsinRegion,这个命令显示Listwindow中的顶级(top-level)信号。

addlist/counter/*)

8.下步,通过从Signalswindow选取View\Wave\SignalsinRegion添加顶级(top-level)信号到Wavewindow。

(Prompt:

addwave/counter/*)

运行仿真

通过应用始终输入激励来开始仿真。

1.点击主窗口,在vsim提示符下敲如下面的命令:

(forceclk150,0100–repeat100)

(MENU:

Signals\Edit\Clock)

QuestaSim解释force命令如下:



forceclktothevalue1at50nsafterthecurrenttime



thento0at100nsafterthecurrenttime

#61550;

repeatthiscycleevery100ns

2.现在你可以练习来自于主窗口或波形窗口工具条按钮的两个不同的Run功能。

(Run功能在主窗口和波形窗口中定义,即这两个窗口中有Run功能)。

首先选取Run按钮,运行完成之后选取RunAll。

Run.运行仿真,在100ns后停止。

(PROMPT:

run100)(MENU:

Run\Run100ns)

Run—All.一直运行仿真,直到选取Break.

(PROMPT:

run—all)(MENU:

Run\Run-All)

3.选取主窗口或波形窗口的Break按钮来中断仿真,一旦仿真到达一个可接受的停止点,它就停止运行。

在源文件窗口中的箭头指向下一条将被执行的语句。

(如果暂停发生时,仿真没在评测一个过程,则没有箭头显示在源文件窗口上)。

下面,你将在18行的函数内部设置一个断点.

4.移动鼠标到源文件窗口,在18行上点击设置断点,可以看到紧挨着行号有一个红点,可以用鼠标点击切换断点的使能与否,断点禁止后看到是一个小的红色的园环。

可以在断点上点击鼠标右键,选取RemoveBreakPoint18来取消断点。

(PROMPT:

bpcounter。

vhd18)

5.选取ContinueRun按钮恢复中断了的运行,QuestaSim会碰上断点,通过源文件中的一个箭头或是在主窗口中的一条中断信息来显示出来。

(PROMPT:

run—continue)(MENU:

Run\Continue)

6.点击Step按钮可以单步执行仿真,注意Variableswindow中值的变化。

如果你愿意可以持续点击Step。

run-step)(MENU:

Step)

7.当你完成了,敲入以下命令结束仿真.

quit-force

8.命令没有寻求确认就结束了QuestaSim。

第三课BasicverilogSimulation

1.新建一个目录,并设置该目录为当前工作目录,通过从该目录调用QuestaSim或是选取File\ChangeDirectory命令来完成。

2.拷贝example目录中verilog文件到当前目录下。

在你编译verilog文件前,你需要在新目录下生成一个设计库。

如果你仅仅熟悉解释性verilog仿真器,诸如CadenceVerilog—XL,那么对于你来说这是一个新的方法.因为QuestaSim是一个编译性Verilog仿真器,对于编译它需要一个目标设计库。

如果需要的话,QuestaSim能够编译VHDL和Verilog代码到同一个库中。

3.在编译任何HDL代码前,要建立一个设计库来存放编译结果。

确定选取Create:

anewlibraryandalogicalmappingtoit,在LibraryName域中键入work,然后选取OK.这就在当前目录中建立了一个子目录,即你的设计库。

QuestaSim在这个目录中保存了名为_info的特殊文件.

4.下面你将编译Verilog设计。

这个设计例子由两个Verilog源文件组成,每一个都包含一个唯一的模块.文件counter.v包含一个名为counter的模块,它执行一个简单的八位加法计数器。

另一个文件tcounter。

v是一个测试台模块(test_counter),通常用来校验counter。

在仿真下,你可以看到这两个文件,通过一个被测试台例示了的模块counter的一个简单的实例(名为dut的实例),来层次化的设置了。

稍候你将有机会看一下这个代码的结构,现在,你需要编译两个文件到work设计库。

5.通过选取工具条中的Compile按钮来编译两个文件。

(PROMPT:

vlogcounter。

vtcounter。

v)

这就打开了CompileHDLSourceFiles对话框。

选取两个文件后,选择Compile,编译完成后选取Done.

6.选取工具条中的LoadDesign按钮开始仿真。

(PROMPT:

vsimtest_counter)

LoadDesign对话框允许你从指定的库中选取一个设计单元仿真。

你也可以

为仿真选取SimulationResolution限制,缺省的库是work,缺省的SimulationResolution是1ns.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 节日庆典

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1