数字频率计设计毕业设计论文Word文档格式.docx

上传人:b****3 文档编号:14895368 上传时间:2022-10-25 格式:DOCX 页数:37 大小:165.97KB
下载 相关 举报
数字频率计设计毕业设计论文Word文档格式.docx_第1页
第1页 / 共37页
数字频率计设计毕业设计论文Word文档格式.docx_第2页
第2页 / 共37页
数字频率计设计毕业设计论文Word文档格式.docx_第3页
第3页 / 共37页
数字频率计设计毕业设计论文Word文档格式.docx_第4页
第4页 / 共37页
数字频率计设计毕业设计论文Word文档格式.docx_第5页
第5页 / 共37页
点击查看更多>>
下载资源
资源描述

数字频率计设计毕业设计论文Word文档格式.docx

《数字频率计设计毕业设计论文Word文档格式.docx》由会员分享,可在线阅读,更多相关《数字频率计设计毕业设计论文Word文档格式.docx(37页珍藏版)》请在冰豆网上搜索。

数字频率计设计毕业设计论文Word文档格式.docx

     日  期:

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:

按照学校要求提交毕业设计(论文)的印刷本和电子版本;

学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;

学校可以采用影印、缩印、数字化或其它复制手段保存论文;

在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:

     日 期:

毕业设计(论文)任务书

一、课题名称:

数字频率计设计

二、主要技术指标:

1、频率范围在0Hz—999999Hz。

2、用六个数码管显示,采用8段译码器。

3、不用显示计数的过程,只要显示最终的结果。

4、设有Hz与KHz两档。

5、结果用十进制数显示。

三、工作内容和要求:

1、以EDA工具为开发平台,利用VHDL硬件描述语言,采用自顶向下和基于库的设计,这样不但可以不必了解硬件结构的设计,从而还能使系统大大地简化,并提高了整体的性能和可靠性。

2、用VHDL在CPLD器件上实现一种数字频率计测频系统,能够用十进制数码管显示被测信号的频率,这样不仅能够测量频率,还可以测量其他的物理量,具有体积小、可靠性高和功耗低的特点。

四、主要参考文献:

1、《EDA技术与项目训练》

2、《电子测量技术》

3、蒋焕文,孙续,电子测量(第二版),中国计量出版社(中)

学生(签名)年月日

指导教师(签名)年月日

教研室主任(签名)年月日

系主任(签名)年月日

毕业设计(论文)开题报告

设计(论文)题目

数字频率计设计

一、选题的背景和意义:

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。

数字式频率计的测量原理有两类:

一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;

二是间接测频法即测周期法,如周期测频法。

本设计中使用的就是直接测频法。

数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。

随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。

二、课题研究的主要内容:

1、制作一个由EDA技术产生的从0Hz—999999Hz的数字频率计,并将所需得到的频率通过数码管显示出来。

2、数字频率计主要有5个模块来组成:

频率控制模块、十进制计数器模块、锁存模块、译码模块和系统模块。

三、主要研究(设计)方法论述:

1、首先通过频率控制模块,将clk信号分频再取反给锁存和计数两个使能端。

2、其次通过十进制计数器模块实现对输入信号周期的计数。

3、然后通过锁存模块实现对计数器结果的锁存,并将其送入译码模块。

4、再通过译码模块实现对计数结果的译码,让其直观地显示于数码管上。

5、再然后通过系统模块来实现对各模块功能的整合,并实现整个系统的功能。

6、最后修改并最终完成论文设计。

四、设计(论文)进度安排:

时间(迄止日期)

工作内容

2011.9.6-2011.9.9

查阅相关资料,确定设计题目;

2011.9.10-2011.9.23

明确设计任务,填写开题报告,拟定初步方案;

2011.9.24-2011.10.2

了解相关引脚的功能和硬件系统总体方案的初步设计;

2011.10.20-2011.10.24

完成中期检查表;

2011.10.25-2011.10.31

查阅资料,撰写初稿

2011.11.1-2011.11.7

完成初稿,交给指导老师审核;

2011.11.8-2011.11.13

修改完善毕业设计;

2011.11.14-2011.11.19

定稿,完成设计;

五、指导教师意见:

            指导教师签名:

年月日

六、系部意见:

           系主任签名:

摘要

Abstract

第1章前言…………………………………………………………..…………….1

第2章EDA的发展历程及其应用……………………………………………….2

2.1电子设计自动化发展概述…………………………………………….2

2.1.1什么是电子设计自动化……………………………………………….2

2.1.2EDA的发展阶段及特点………………………………………………..2

2.1.3EDA的应用………………………………………………..……………3

2.2基于EDA的FPGA/CPLD的开发……………………………………….3

2.2.1FPGA/CPLD的介绍………………………………………………..……3

2.2.2基于EDA工具的FPGA/CPLD开发流程……………………………….4

2.2.3用FPGA/CPLD开发的优缺点………………………………………….4

2.3FPGA设计流程………………………………………………..………..5

2.4VHDL语言………………………………………………..……………..6

2.5VHDL的特点………………………………………………..…………..7

2.6基于VHDL的自顶向下设计方法…………………………………………..8

2.6.1自顶向下设计的步骤………………………………………..…………..8

2.6.2Top-down设计方法的优点………………………………………..……8

2.7MAX+PLUSII介绍………………………………………………..…...8

第3章设计总体方案…..………..………..………..………..…………………….10

第4章数字频率计的设计原理…..………..………..………..………..………….11

4.1频率计测量频率的原理………………………………………………..…..11

4.1.1频率计测量频率的原理图包含的模块………………………………….11

4.1.2频率计测量频率的原理图……………………………………………….11

4.2频率计测量周期的原理…………………………………………..………..11

4.2.1频率计测量周期的原理图包含的模块………………………………….11

4.2.2频率计测量周期的原理图……………………………………………….12

第5章数字频率计的设计…..………..………..………..………..……………….13

5.1数字频率计的设计背景……………………………………………………13

5.2数字频率计的设计原理……………………………………………………13

5.2.1直接测频法……………………………………………………………….13

5.2.2多周期同步测频法……………………………………………………….13

5.3频率计发展现状……………………………………………………………14

5.4数字频率计的设计要求……………………………………………………14

5.5六位数字频率计原理………………………………………………..……...15

5.6数字频率计设计方法………………………………………………..……..15

5.7数字频率计原理框图………………………………………………..……..15

第6章系统方案论证与模块划分…..………..………..………..………..……….16

第7章系统单元电路设计及工作原理…..………..………..………..………..….17

7.11000分频模块和动态扫描模块…………………………………………..17

7.2频率控制模块……………………………………………………………...17

7.3十进制计数器模块………………………………………………………...17

7.4锁存模块…………………………………………………………………...17

7.5译码模块…………………………………………………………………...17

7.6六选一模块………………………………………………………………...17

第8章各模块程序的设计…..………..………..………..………..……………….18

8.1频率控制模块的程序(CT1.VHD)………………………………………..18

8.2十进制计数器模块(CNT10.VHD)………………………………………..18

8.3锁存模块(REG4.VHD)……………………………………………………19

8.4译码模块(DECODE.VHD)…………………………………………………19

8.5动态扫描模块(SCAN6A.VHD)……………………………………………20

第9章结束语……………………………………………………………………..22

参考文献

答谢辞

摘要

数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置,它不仅可以测量方波、三角波、正弦波、尖脉冲信号和其他具有周期特性的信号频率,而且还可以测量它们的周期。

经过改装,可以测量电容做成数字式电容测量仪;

可以测量脉冲宽度,做成数字式脉宽测量仪;

在电路中增加传感器,还可以做成数字脉搏仪、计价器等。

因此数字频率计在测量物理量方面应用广泛。

这次设计选用的是VHDL在CPLD器件上实现数字频率计测频系统,能够测量正弦波、三角波和方波等信号的频率,能够用十进制数码管显示被测信号的频率,而且还能对其他多种物理量进行测量。

具有体积小、功耗低和可靠性高的特点。

数字频率计是通讯设备、计算机、音频视频等科研生产领域不可缺少的测量仪器。

采用VHDL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分以外,其余全部在一片FPGA芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性,在不更改硬件电路的基本上,对系统进行各种改进还可以进一步提高系统的性能。

该数字频率计具有高速、精确、可靠、抗干扰性能强和现场可编程等优点。

关键词:

数字频率计、FPGA芯片、VHDL语言

DigitalfrequencymeteristodirectlyshowtobemeasuredakindofDiagraphofsignalfrequencytoequipwiththedecimalsystemnumber,Itnotonlycanmeasuresinewave,squarewave,trianglewave,sharppulsesignalandotherhaveaperiodofthefrequencyofthesignalofcharacte

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1