试验位十进制计数器的设计Word下载.docx
《试验位十进制计数器的设计Word下载.docx》由会员分享,可在线阅读,更多相关《试验位十进制计数器的设计Word下载.docx(10页珍藏版)》请在冰豆网上搜索。
q:
outstd_logic_vector(3downto0)
);
endentity;
architecturebevofbcd_counteris
begin
process(clk)
0);
if(rising_edge(clk))thenifreset='
1'
thencnt:
="
0000"
;
else
ifcnt<
9then
cnt:
=
cnt+"
0001"
co<
='
endif;
endif;
q<
=cnt;
endprocess;
endbev;
四位十进制计数器程序B:
entitybcd_counter2is
architecturebevofbcd_counter2is
signalcnt
:
std_logic_vector(3downto0);
thencnt<
cnt<
=cnt+"
else
co<
endprocess;
四位十进制计数器程序C:
entitybcd_counter3is
reset:
architecturebevofbcd_counter3is
signalcnt:
ifreset='
then
elsif(rising_edge(clk))then
9thencnt<
、实验内容:
1、资源使用情况和最大运行频率:
程序
使用逻辑
使用寄
最大运行频率(MHZ
单兀数
存器数
(slow1200mV85CModel)
A
7
4
452.49
B
C
710.73
2、RTL视图和TechnologyMap视图
程序A
彌Q|
程序B
程序C
Le^sThanO
1_匕汕」H.4N
ADDER
AddO
GO'
-regO
3、testbench文件
LIBRARYaltera;
LIBRARYcycloneiii
LIBRARYieee;
USEaltera.altera_primitives_components.all
USEcycloneiii.cycloneiii_components.all;
USEieee.std_logic_1164.all
ENTITYbcd_counter_tbIS
END;
ARCHITECTUREbcd_counter_tb_archOFbcd_counter_tbISSIGNALq:
std_logic_vector(3downto0);
SIGNALclk:
STD_LOGIC:
0'
;
SIGNALco:
STD_LOGIC;
SIGNALreset:
COMPONENTbcd_counter
PORT(
outstd_logic_vector(3downto0);
clk:
inSTD_LOGIC;
outSTD_LOGIC;
inSTD_LOGIC);
ENDCOMPONENT;
BEGIN
DUT:
bcd_counter
PORTMAP(q=>
q,clk=>
clk,co=>
co,reset=>
reset);
reset<
after380ns,
'
after550ns;
clk<
=notclkafter100ns;
程序B
LIBRARYcycloneiii;
USEcycloneiii.cycloneiii_components.all;
ENTITYbcd_counter2_tbIS
ARCHITECTUREbcd_counter2_tb_archOFbcd_counter2_tbISSIGNALq:
='
COMPONENTbcd_counter2
bcd_counter2
after150ns,
after450ns;
程序C
LIBRARYcycloneiii
ENTITYbcd_counter3_tbIS
ARCHITECTUREbcd_counter3_tb_archOFbcd_counter3_tbIS
SIGNALq:
COMPONENTbcd_counter3
bcd_counter3
co,
reset=>
reset);
elk<
=notelkafter100ns;
after50ns,
after350ns;
4、最终的时序波形及输出延时
程序A时序仿真波形
程序A输出延时的波形及时间
Msqs
Q
1
JbalCDuribersb/dk
i
T~
ZJ
—
jbcdc&
unltftb糕
芒=:
:
•..・
iqons
iH£
严jrw
1;
111
1.
ins
1i1;
1
912ns
°
」暑Curscr1
OTns
\^JQns|
I
7ns
Cursor2
+D7ns
延时7ns
程序B时序仿真波形
程序B输出延时的波形及时间
程序C时序仿真波形
程序C输出延时的波形及时间
输出延时5ns
5、重新设定elk的时钟频率大于1中的最大工作频率时观察时序仿真波形,并
与4中的仿真波形对比,说明差别的原因
AKd_countEr3_tbAeset^Kri_CEMnter3_Tb!
fck卧4Kd_wuntjff-3_tb/q
00€0