eda技术与vhdl第四版课后答案.docx

上传人:b****0 文档编号:12591397 上传时间:2023-04-20 格式:DOCX 页数:18 大小:29.92KB
下载 相关 举报
eda技术与vhdl第四版课后答案.docx_第1页
第1页 / 共18页
eda技术与vhdl第四版课后答案.docx_第2页
第2页 / 共18页
eda技术与vhdl第四版课后答案.docx_第3页
第3页 / 共18页
eda技术与vhdl第四版课后答案.docx_第4页
第4页 / 共18页
eda技术与vhdl第四版课后答案.docx_第5页
第5页 / 共18页
点击查看更多>>
下载资源
资源描述

eda技术与vhdl第四版课后答案.docx

《eda技术与vhdl第四版课后答案.docx》由会员分享,可在线阅读,更多相关《eda技术与vhdl第四版课后答案.docx(18页珍藏版)》请在冰豆网上搜索。

eda技术与vhdl第四版课后答案.docx

eda技术与vhdl第四版课后答案

eda技术与vhdl第四版课后答案

【篇一:

《eda技术实用教程(第五版)》习题答案(第

1~10章)--潘】

ss=txt>1习题

1-1eda技术与asic设计和fpga开发有什么关系?

fpga在asic设计中有什么用途?

p3~4

eda技术与asic设计和fpga开发有什么关系?

答:

利用eda技术进行电子系统设计的最后目标是完成专用集成电路asic的设计和实现;fpga和cpld是实现这一途径的主流器件。

fpga和cpld的应用是eda技术有机融合软硬件电子设计技术、soc(片上系统)和asic设计,以及对自动设计与自动实现最典型的诠释。

fpga在asic设计中有什么用途?

答:

fpga和cpld通常也被称为可编程专用ic,或可编程asic。

fpga实现asic设计的现场可编程器件。

1-2与软件描述语言相比,vhdl有什么特点?

p4~6答:

编译器将软件程序翻译成基于某种特定cpu的机器代码,这种代码仅限于这种cpu而不能移植,并且机器代码不代表硬件结构,更不能改变cpu的硬件结构,只能被动地为其特定的硬件电路结构所利用。

综合器将vhdl程序转化的目标是底层的电路结构网表文件,这种满足vhdl设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。

综合器在将vhdl(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?

有哪些类型?

综合在电子设计自动化中的地位是什么?

p6

什么是综合?

答:

在电子设计领域中综合的概念可以表示为:

将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型?

答:

(1)从自然语言转换到vhdl语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(registertransportlevel,rtl),即从行为域到结构域的综合,即行为综合。

(3)从rtl级

表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(asic设计),或转换到fpga的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么?

答:

是核心地位(见图1-3)。

综合器具有更复杂的工作环境,综合器在接受vhdl程序并准

备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将vhdl程序转化成电路实现的相关信息。

1-4在eda技术中,自顶向下的设计方法的重要意义是什么?

p8~10

答:

在eda技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

1-5ip在eda技术的应用和发展中的意义是什么?

p23~25答:

ip核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

1-6叙述eda的fpga/cpld设计流程,以及涉及的eda工具及其在整个流程中的作用。

(p12~14)

答:

1.设计输入(原理图/hdl文本编辑)(eda设计输入器将电路系统以一定的表达方式输入计算机);2.综合(eda综合器就是将电路的高级语言(如行为描述)转换成低级的,可与fpga/cpld的基本结构相映射的网表文件或程序。

);3.适配(eda适配器的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如jedec、jam格式的文件。

);4.时序仿真(eda时序仿真器就是接近真实器件运行特性的仿真,仿真文件中已包含了器件硬件特性参数,因而,仿真精度高。

)与功能仿真(eda功能仿真器

直接对vhdl、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求,仿真过程不涉及任何具体器件的硬件特性。

);5.编程下载(eda编程下载把适配后生成的下载或配置文件,通过编程器或编程电缆向fpga或cpld下载,以便进行硬件调试和验证(hardwaredebugging)。

);6.硬件测试(最后是将含有载入了设计的fpga或cpld的硬件系统进行统一测试,以便最终验证设计项目在目标系统上的实际工作情况,以排除错误,改进设计。

其中eda的嵌入式逻辑分析仪是将含有载入了设计的fpga的硬件系统进行统一测试,并将测试波形在pc机上显示、观察和分析。

)。

2习题

2-1olmc(输出逻辑宏单元)有何功能?

说明gal是怎样实现可编程组合电路与时序电路的。

p34~36olmc有何功能?

答:

olmc单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。

说明gal是怎样实现可编程组合电路与时序电路的?

答:

gal(通用阵列逻辑器件)是通过对其中的olmc(逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

2-2什么是基于乘积项的可编程逻辑结构?

p33~34,40什么是基于查找表的可编程逻辑结构?

p40~42什么是基于乘积项的可编程逻辑结构?

答:

gal、cpld之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的pal(可编程阵列逻辑)器件构成。

什么是基于查找表的可编程逻辑结构?

答:

fpga(现场可编程门阵列)是基于查找表的可编程逻辑结构。

2-3fpga系列器件中的lab有何作用?

p42~44

答:

fpga(cyclone/cycloneii)系列器件主要由逻辑阵列块lab、嵌入式存储器块(eab)、i/o单元、嵌入式硬件乘法器和pll等模块构成;其中lab(逻辑阵列块)由一系列相邻的le(逻辑单元)构成的;fpga可编程资源主要来自逻辑阵列块lab。

2-4与传统的测试技术相比,边界扫描技术有何优点?

p47~50答:

使用bst(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。

克服传统的外探针测试法和“针床”夹具测试法来无法对ic内部节点无法测试的难题。

2-5解释编程与配置这两个概念。

p51~56答:

编程:

基于电可擦除存储单元的eeprom或flash技术。

cpld一股使用此技术进行编程。

cpld被编程后改变了电可擦除存储单元中的信息,掉电后可保存。

电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。

配置:

基于sram查找表的编程单元。

编程信息是保存在sram中的,sram在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。

大部分fpga采用该种编程工艺。

该类器件的编程一般称为配置。

对于sram型fpga来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。

2-6请参阅相关资料,并回答问题:

按本章给出的归类方式,将基于乘积项的可编程逻辑结构的pld器件归类为cpld;将基于查找表的可编程逻辑结构的pld器什归类为fpga,那么,apex系列属于什么类型pld器件?

maxii系列又属于什么类型的pld器件?

为什么?

p47~51

答:

apex(advancedlogicelementmatrix)系列属于fpga类型

pld器件;编程信息存于sram中。

maxii系列属于cpld类型的pld器件;编程信息存于eeprom中。

3习题

3-1说明端口模式inout和buffer有何异同点。

p60inout:

具有三态控制的双向传送端口buffer:

具有输出反馈的单向东湖出口。

3-2画出与以下实体描述对应的原理图符号元件:

entitybuf3sis--实体1:

三态缓冲器

port(input:

instd_logic;--enable:

instd_logic;--output:

outstd_logic);-endbuf3s;

输入端使能端

输出端

entitymux21is--实体2:

2选1多路选择器

port(in0,in1,sel:

instd_logic;

output:

outstd_logic);

3-3试分别用if_then语句和case语句的表达方式写出此电路的vhdl程序,选择控制信号s1和s0的数据类型为std_logic_vector;当s1=?

0?

s0=?

0?

;s1=?

0?

s0=?

1?

;s1=?

1?

s0=?

0?

s1=?

1?

s0=?

1?

时,分别执行y=a、y=b、y=c、y=d。

--解1:

用if_then语句实现4选1多路选择器

libraryieee;

useieee.std_logic_1164.all;

entitymux41isport(a,b,c,d:

instd_logic;s0:

instd_logic;

s1:

instd_logic;y:

outstd_logic);

endentitymux41;

architectureif_mux41ofmux41is

signals0s1:

std_logic_vector(1downto0);--定义标准逻辑位矢

量数据begin

s0s1=s1s0;--s1相并s0,即s1与s0并置操作process(s0s1,a,b,c,d)

begin

ifs0s1=00theny=a;

elsifs0s1=01theny=b;

elsifs0s1=10theny=c;

elsey=d;

endif;

endprocess;

endarchitectureif_mux41;

--解2:

用case语句实现4选1多路选择器

libraryieee;

useieee.std_logic_1164.all;

entitymux41is

port(a,b,c,d:

instd_logic;s0:

instd_logic;

s1:

instd_logicy:

outstd_logic);

endentitymux41;

architecturecase_mux41ofmux41is

定义标准逻辑位矢

signals0s1:

std_logic_vector(1downto0);--

量数据类型begins0s1=s1s0;--s1相并s0,即s1与s0并置操作

process(s0s1,a,b,c,d)

begin

case语句

cases0s1is--类似于真值表的

when00=y=a;

when01=y=b;

when10=y=c;

when11=y=d;

whenothers=null;

endcase;

endprocess;

endarchitecturecase_mux41;

3-4给出1位全减器的vhdl描述;最终实现8位全减器。

要求:

1)首先设计1位半减器,然后用例化语句将它们连接起来,图4-20中h_suber是半减器,diff是

输出差(diff=x-y),s_out是借位输出(s_out=1,xy),sub_in是借位输入。

xin

yinac

bdiff_out

图3-18全减器结构图

--解(1.1):

实现1位半减器h_suber(diff=x-y;s_out=1,xy)libraryieee;--半减器描述

(1):

布尔方程描述方法

useieee.std_logic_1164.all;

entityh_suberisport(x,y:

instd_logic;diff,s_out:

outstd_logic);

endentityh_suber;architecturehs1ofh_suberis

begin

diff=xxor(noty);

s_out=(notx)andy;endarchitecturehs1;

--解(1.2):

采用例化实现图4-20的1位全减器

libraryieee;--1位二进制全减器顺层设计描述

useieee.std_logic_1164.all;

entityf_suberis

port(xin,yin,sub_in:

instd_logic;sub_out,diff_out:

outstd_logic);

endentityf_suber;

architecturefs1off_suberiscomponenth_suber--调用半减器声明语句

port(x,y:

instd_logic;diff,s_out:

outstd_logic);endcomponent;

signala,b,c:

std_logic;--定义1个信号作为内部的连接线

begin

u1:

h_suberportmap(x=xin,y=yin,diff=a,s_out=b);

u2:

h_suberportmap(x=a,y=sub_in,diff=diff_out,s_out=c);sub_out=corb;

endarchitecturefs1;

(2)以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是x-y-sun_in=difft)。

【篇二:

eda技术使用教程vhdl(第四版)课后答案一潘

松版】

a技术与asic设计和fpga开发有什么关系?

p3~4答:

利用eda技术进行电子系统设计的最后目标是完成专用集成电路asic的设计和实现;fpga和cpld是实现这一途径的主流器件。

fpga和cpld通常也被称为可编程专用ic,或可编程asic。

fpga和cpld的应用是eda技术有机融合软硬件电子设计技术、soc(片上系统)和asic设计,以及对自动设计与自动实现最典型的诠释。

1-2与软件描述语言相比,vhdl有什么特点?

p6答:

编译器将软件程序翻译成基于某种特定cpu的机器代码,这种代码仅限于这种cpu而不能移植,并且机器代码不代表硬件结构,更不能改变cpu的硬件结构,只能被动地为其特定的硬件电路结构所利用。

综合器将vhdl程序转化的目标是底层的电路结构网表文件,这种满足vhdl设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。

综合器在将vhdl(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?

有哪些类型?

综合在电子设计自动化中的地位是什么p5

什么是综合?

答:

在电子设计领域中综合的概念可以表示为:

将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型?

答:

(1)从自然语言转换到vhdl语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(registertransportlevel,rtl),即从行为域到结构域的综合,即行为综合。

(3)从rtl级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(asic设计),或转换到fpga的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么?

答:

是核心地位(见图1-3)。

综合器具有更复杂的工作环境,综合器在接受vhdl程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将vhdl程序转化成电路实现的相关信息。

1-4在eda技术中,自顶向下的设计方法的重要意义是什么?

p7~10

答:

在eda技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

1-5ip在eda技术的应用和发展中的意义是什么?

p11~12答:

ip核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

第二章

2-1叙述p13~16

答:

1.设计输入(原理图/hdl文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

2-2ip是什么?

ip与eda技术的关系是什么?

p24~26ip是什么?

答:

或fpga/cpld中的预先设计好的电路功能模块。

ip与eda技术的关系是什么?

答:

ip在eda技术开发中具有十分重要的地位;与eda技术的关系分有软ip、固ip、硬ip:

软ip是用vhdl等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软ip通常是以硬件描述语言hdl源文件的形式出现。

固ip是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。

硬ip提供设计的最终阶段产品:

掩模。

2-3叙述asic的设计方法。

p18~19

答:

asic设计方法,按版图结构及制造方法分有半定制(semicustom)和全定制(full-custom)两种实现方法。

全定制方法是一种基于晶体管级的,手工设计版图的制造方法。

半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。

半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。

2-4fpga/cpld在asic设计中有什么用途?

p16,18答:

fpga/cpld在asic设计中,属于可编程asic的逻辑器件;使设计效率大为提高,上市的时间大为缩短。

2-5简述在基于fpga/cpld的eda设计流程中所涉及的eda工具,及其在整个流程中的作用。

p19~23

答:

基于fpga/cpld的eda设计流程中所涉及的eda工具有:

设计输入编辑器(作用:

接受不同的设计输入表达方式,如原

理图输入方式、状态图输入方式、波形输入方式以及hdl的文本输入方式。

);hdl综合器(作用:

hdl综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:

行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:

完成目标系统在器件上的布局和布线);下载器(作用:

把设计结果信息下载到对应的实际器件,实现硬件设计)。

第三章

有何功能?

说明gal是怎样实现可编程组合电路与时序电路的。

p34~36

olmc有何功能?

组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。

说明gal是怎样实现可编程组合电路与时序电路的?

(通用阵列逻辑器件)是通过对其中的olmc(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2什么是基于乘积项的可编程逻辑结构?

p33~34,40

答:

gal、cpld之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的pal(可编程阵列逻辑)器件构成。

3-3什么是基于查找表的可编程逻辑结构?

p40~41

答:

fpga(现场可编程门阵列)是基于查找表的可编程逻辑结构。

3-4fpga系列器件中的lab有何作用?

p43~45

答:

fpga(cyclone/cycloneii)系列器件主要由逻辑阵列块lab、

嵌入式存储器块(eab)、i/o单元、嵌入式硬件乘法器和pll等模块构成;其中lab(逻辑阵列块)由一系列相邻的le(逻辑单元)构成的;fpga可编程资源主要来自逻辑阵列块lab。

3-5与传统的测试技术相比,边界扫描技术有何优点?

p47~50答:

使用bst(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。

克服传统的外探针测试法和“针床”夹具测试法来无法对ic内部节点无法测试的难题。

3-6解释编程与配置这两个概念。

p58答:

编程:

基于电可擦除存储单元的eeprom或flash技术。

cpld一股使用此技术进行编程。

cpld被编程后改变了电可擦除存储单元中的信息,掉电后可保存。

电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。

配置:

基于sram查找表的编程单元。

编程信息是保存在sram中的,sram在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。

大部分fpga采用该种编程工艺。

该类器件的编程一般称为配置。

对于sram型fpga来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。

3-7请参阅相关资料,并回答问题:

按本章给出的归类方式,将基于乘积项的可编程逻辑结构的pld器件归类为cpld;将基于查【篇三:

eda技术课后答案】

.1eda的英文全称是什么?

eda的中文含义是什么?

答:

eda即electronicdesignautomation的缩写,直译为:

电子

设计自动化。

1.2什么叫eda技术?

答:

eda技术有狭义和广义之分,狭义eda技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1