ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:29.92KB ,
资源ID:12591397      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/12591397.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(eda技术与vhdl第四版课后答案.docx)为本站会员(b****0)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

eda技术与vhdl第四版课后答案.docx

1、eda技术与vhdl第四版课后答案eda 技术与 vhdl 第四版课后答案【篇一: eda 技术实用教程 (第五版 )习题答案 (第110 章 )-潘】ss=txt1 习 题1-1 eda 技术与 asic 设计和 fpga 开发有什么关系? fpga 在 asic 设计中有什么用途? p34eda 技术与 asic 设计和 fpga 开发有什么关系?答:利用 eda 技术 进行电子系统设计的最后目标是完成专用集成电路 asic 的设计和实 现; fpga 和 cpld 是实现这一途径的主流器件。 fpga 和 cpld 的应用 是 eda 技术有机融合软硬件电子设计技术、 soc (片上系统

2、)和 asic 设计,以及对自动设计与自动实现最典型的诠释。fpga 在 asic 设计中有什么用途?答: fpga 和 cpld 通常也被称为可 编程专用ic,或可编程asic。fpga实现asic设计的现场可编程器 件。1-2 与软件描述语言相比, vhdl 有什么特点 ? p46 答:编译器将软件程序翻译成基于某种特定 cpu 的机器代码,这种 代码仅限于这种 cpu 而不能移植,并且机器代码不代表硬件结构, 更不能改变 cpu 的硬件结构,只能被动地为其特定的硬件电路结构 所利用。综合器将 vhdl 程序转化的目标是底层的电路结构网表文件,这种满 足 vhdl 设计程序功能描述的电路结

3、构,不依赖于任何特定硬件环境; 具有相对独立性。综合器在将 vhdl( 硬件描述语言 )表达的电路功能转 化成具体的电路结构网表过程中,具有明显的能动性和创造性,它 不是机械的一一对应式的 “翻译 ”,而是根据设计库、工艺库以及预 先设置的各类约束条件,选择最优的方式完成电路结构的设计。l-3 什么是综合 ?有哪些类型 ?综合在电子设计自动化中的地位是什 么? p6什么是综合 ? 答:在电子设计领域中综合的概念可以表示为:将用 行为和功能层次表达的电子系统转换为低层次的便于具体实现的模 块组合装配的过程。有哪些类型 ? 答: (1) 从自然语言转换到 vhdl 语言算法表示,即自然 语言综合。

4、 (2)从算法表示转换到寄存器传输级 (registertransport level , rtl) ,即从行为域到结构域的综合,即行为综合。 (3)从 rtl 级表示转换到逻辑门 (包括触发器 )的表示,即逻辑综合。 (4) 从逻辑门表 示转换到版图表示 (asic 设计 ),或转换到 fpga 的配置网表文件,可 称为版图综合或结构综合。综合在电子设计自动化中的地位是什么 ? 答:是核心地位(见图 1- 3)。综合器具有更复杂的工作环境,综合器在接受 vhdl 程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺 库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约 束

5、条件信息,将 vhdl 程序转化成电路实现的相关信息。1-4 在 eda 技术中,自顶向下的设计方法的重要意义是什么 ? p810答:在 eda 技术应用中,自顶向下的设计方法,就是在整个设计流 程中各设计环节逐步求精的过程。1-5 ip 在 eda 技术的应用和发展中的意义是什么 ? p2325 答: ip 核具有规范的接口协议,良好的可移植与可测试性,为系统 开发提供了可靠的保证。1-6 叙述 eda 的 fpga/cpld 设计流程,以及涉及的 eda 工具及其在 整个流程中的作用。 (p1214)答:1.设计输入(原理图/hdl文本编辑)(eda设计输入器将电路系统 以一定的表达方式输

6、入计算机 );2.综合 (eda 综合器就是将电路的高 级语言 (如行为描述 )转换成低级的,可与 fpga cpld 的基本结构相 映射的网表文件或程序。);3.适配(eda适配器的功能是将 由综合器产生的网表文件配置于指定的目标器件中,使之产生最终 的下载文件,如 jedec 、jam 格式的文件。 ); 4.时序仿真 (eda 时序 仿真器就是接近真实器件运行特性的仿真,仿真文件中已包含了器 件硬件特性参数,因而,仿真精度高。 )与功能仿真 (eda 功能仿真器直接对 vhdl 、原理图描述或其他描述形式的逻辑功能进行测试模拟, 以了解其实现的功能是否满足原设计的要求,仿真过程不涉及任何

7、 具体器件的硬件特性。 ); 5.编程下载 (eda 编程下载把适配后生成的 下载或配置文件,通过编程器或编程电缆向 fpga 或 cpld 下载,以 便进行硬件调试和验证 (hardware debugging) 。 ); 6.硬件测试 (最后 是将含有载入了设计的 fpga 或 cpld 的硬件系统进行统一测试,以 便最终验证设计项目在目标系统上的实际工作情况,以排除错误, 改进设计。其中 eda 的嵌入式逻辑分析仪是将含有载入了设计的 fpga 的硬件系统进行统一测试,并将测试波形在 pc 机上显示、观 察和分析。 )。2 习 题2-1 olmc (输出逻辑宏单元)有何功能 ? 说明 g

8、al 是怎样实现可编 程组合电路与时序电路的。 p3436 olmc 有何功能 ? 答: olmc 单元设有多种组态,可配置成专用组合 输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向 口等。说明 gal 是怎样实现可编程组合电路与时序电路的 ? 答: gal (通用 阵列逻辑器件)是通过对其中的 olmc (逻辑宏单元)的编程和三种 模式配置(寄存器模式、复合模式、简单模式),实现组合电路与 时序电路设计的。2-2 什么是基于乘积项的可编程逻辑结构 ? p3334 , 40 什么是基 于查找表的可编程逻辑结构 ? p4042 什么是基于乘积项的可编程逻辑结构 ?答: gal 、cp

9、ld 之类都是基于 乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的 pal (可编程阵列逻辑)器件构成。什么是基于查找表的可编程逻辑结构 ?答: fpga (现场可编程门阵 列)是基于查找表的可编程逻辑结构。2-3 fpga 系列器件中的 lab 有何作用 ? p4244答: fpga (cyclone/cyclone ii )系列器件主要由逻辑阵列块 lab、 嵌入式存储器块( eab )、 i/o 单元、嵌入式硬件乘法器和 pll 等模块 构成;其中 lab (逻辑阵列块)由一系列相邻的 le (逻辑单元)构成 的; fpga 可编程资源主要来自逻辑阵列块 lab 。 2-4 与

10、传统的测试 技术相比,边界扫描技术有何优点 ? p4750 答:使用 bst (边界扫描测试)规范测试,不必使用物理探针,可 在器件正常工作时在系统捕获测量的功能数据。克服传统的外探针 测试法和 “针床 ”夹具测试法来无法对 ic 内部节点无法测试的难题。2-5 解释编程与配置这两个概念。 p5156 答:编程:基于电可擦除存储单元的 eeprom 或 flash 技术。 cpld 一股使用此技术进行编程。 cpld 被编程后改变了电可擦除存储单元 中的信息,掉电后可保存。电可擦除编程工艺的优点是编程后信息 不会因掉电而丢失,但编程次数有限,编程的速度不快。配置:基于 sram 查找表的编程单

11、元。编程信息是保存在 sram 中 的, sram 在掉电后编程信息立即丢失,在下次上电后,还需要重新 载入编程信息。大部分 fpga 采用该种编程工艺。该类器件的编程一 般称为配置。对于 sram 型 fpga 来说,配置次数无限,且速度快; 在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编 程。2-6 请参阅相关资料,并回答问题:按本章给出的归类方式,将基 于乘积项的可编程逻辑结构的 pld 器件归类为 cpld ;将基于查找表 的可编程逻辑结构的 pld 器什归类为 fpga ,那么, apex 系列属于什 么类型 pld 器件? max ii 系列又属于什么类型的 pld 器

12、 件? 为什么 ? p4751答: apex(advanced logic element matrix) 系列属于 fpga 类型pld器件;编程信息存于 sram中。max ii系列属于cpld类型的pld 器件;编程信息存于 eeprom 中。3 习 题3-1 说明端口模式 inout 和 buffer 有何异同点。 p60 inout : 具有三态控制的双向传送端口 buffer: 具有输出反馈的单向东湖出口。3-2 画出与以下实体描述对应的原理图符号元件 :entity buf3s is - 实体 1:三态缓冲器port(input:in std_logic; - enable:in

13、 std_logic; - output:out std_logic); -end buf3s ;输入端 使能端输出端entity mux21 is - 实体 2: 2 选 1 多路选择器port(in0, in1,sel: in std_logic;output:out std_logic);3-3 试分别用 if_then 语句和 case 语句的表达方式写出此电路的 vhdl 程序,选择控制信号 s1 和 s0 的数据类型为 std_logic_vector; 当 s1=?0?,s0=?0? ; s1=?0?,s0=?1? ; s1=?1?,s0=?0? 和s1=?1?,s0=?1? 时

14、,分别执行 y=a 、 y=b 、y=c 、 y=d 。-解 1:用 if_then 语句实现 4 选 1 多路选择器library ieee;use ieee.std_logic_1164.all;entity mux41 is port (a,b,c,d: in std_logic; s0:in std_logic;s1:in std_logic; y: out std_logic);end entity mux41;architecture if_mux41 of mux41 issignal s0s1 : std_logic_vector(1 downto 0);- 定义标准逻辑位矢量

15、数据 begins0s1=s1s0; -s1 相并 s0, 即 s1 与 s0 并置操作 process(s0s1,a,b,c,d)beginif s0s1 = 00 then y = a;elsif s0s1 = 01 then y = b;elsif s0s1 = 10 then y = c;else y = d;end if;end process;end architecture if_mux41;- 解 2:用 case 语句实现 4 选 1 多路选择器library ieee;use ieee.std_logic_1164.all;entity mux41 isport (a,b,

16、c,d: in std_logic; s0:in std_logic;s1:in std_logic y:out std_logic);end entity mux41;architecture case_mux41 of mux41 is定义标准逻辑位矢signal s0s1 : std_logic_vector(1 downto 0);-量数据类型 begin s0s1=s1s0;-s1 相并 s0, 即 s1 与 s0 并置操作process(s0s1,a,b,c,d)begincase 语句case s0s1 is - 类似于真值表的when 00 = y = a;when 01 =

17、y = b;when 10 = y = c;when 11 = y = d;when others =null ;end case;end process;end architecture case_mux41;3-4 给出 1 位全减器的 vhdl 描述;最终实现 8 位全减器。要求 :1) 首先设计 1 位半减器 ,然后用例化语句将它们连接起来 ,图 4-20 中 h_suber 是半减器 ,diff 是输出差 (diff=x-y),s_out 是借位输出 (s_out=1,xy),sub_in 是借位输 入。xinyin a cb diff_out图 3-18 全减器结构图-解(1.1)

18、 :实现 1 位半减器 h_suber(diff=x-y ; s_out=1,xy) library ieee;- 半减器描述 (1): 布尔方程描述方法use ieee.std_logic_1164.all;entity h_suber is port( x,y: in std_logic; diff,s_out: out std_logic);end entity h_suber; architecture hs1 of h_suber isbegindiff = x xor (not y);s_out = (not x) and y; end architecture hs1;-解(1.

19、2) :采用例化实现图 4-20 的 1 位全减器library ieee; -1 位二进制全减器顺层设计描述use ieee.std_logic_1164.all;entity f_suber isport(xin,yin,sub_in: in std_logic; sub_out,diff_out: out std_logic);end entity f_suber;architecture fs1 of f_suber is component h_suber - 调用半减器声明语句port(x, y: in std_logic; diff,s_out: out std_logic);

20、end component;signal a,b,c: std_logic; - 定义 1 个信号作为内部的连接线beginu1: h_suber port map(x=xin,y=yin,diff=a, s_out=b);u2: h_suber port map(x=a, y=sub_in, diff=diff_out,s_out=c); sub_out = c or b;end architecture fs1;(2) 以 1 位全减器为基本硬件 , 构成串行借位的 8 位减法器 ,要求用例 化语句来完成此项设计 (减法运算是 x-y-sun_in=difft) 。【篇二:eda技术使用教

21、程vhdl(第四版)课后答案一潘松版】a 技术与 asic 设计和 fpga 开发有什么关系 ?p34 答:利用 eda 技术进行电子系统设计的最后目标是完成专用集成电 路 asic 的设计和实现; fpga 和 cpld 是实现这一途径的主流器件。 fpga和cpld通常也被称为可编程专用 ic ,或可编程asic。fpga和 cpld 的应用是 eda 技术有机融合软硬件电子设计技术、 soc (片上 系统)和 asic 设计,以及对自动设计与自动实现最典型的诠释。 1-2 与软件描述语言相比, vhdl 有什么特点 ? p6 答:编译器将软件程序翻译成基于某种特定 cpu 的机器代码,这

22、种 代码仅限于这种 cpu 而不能移植,并且机器代码不代表硬件结构, 更不能改变 cpu 的硬件结构,只能被动地为其特定的硬件电路结构 所利用。综合器将 vhdl 程序转化的目标是底层的电路结构网表文件, 这种满足 vhdl 设计程序功能描述的电路结构,不依赖于任何特定硬 件环境;具有相对独立性。综合器在将 vhdl( 硬件描述语言 )表达的电 路功能转化成具体的电路结构网表过程中,具有明显的能动性和创 造性,它不是机械的一一对应式的 “翻译 ”,而是根据设计库、工艺 库以及预先设置的各类约束条件,选择最优的方式完成电路结构的 设计。l-3 什么是综合 ? 有哪些类型 ?综合在电子设计自动化中

23、的地位是什么 p5什么是综合 ? 答:在电子设计领域中综合的概念可以表示为:将用 行为和功能层次表达的电子系统转换为低层次的便于具体实现的模 块组合装配的过程。有哪些类型 ? 答: (1)从自然语言转换到 vhdl 语言算法表示,即自然 语言综合。 (2)从算法表示转换到寄存器传输级 (registertransport level , rtl) ,即从行为域到结构域的综合,即行为综合。 (3) 从 rtl 级 表示转换到逻辑门 (包括触发器 )的表示,即逻辑综合。 (4) 从逻辑门表 示转换到版图表示 (asic 设计 ),或转换到 fpga 的配置网表文件,可 称为版图综合或结构综合。综合

24、在电子设计自动化中的地位是什么 ? 答:是核心地位(见图 1- 3)。综合器具有更复杂的工作环境,综合器在接受 vhdl 程序并准 备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺 库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约 束条件信息,将 vhdl 程序转化成电路实现的相关信息。1-4 在 eda 技术中,自顶向下的设计方法的重要意义是什么 ? p710答:在 eda 技术应用中,自顶向下的设计方法,就是在整个设计流 程中各设计环节逐步求精的过程。1-5 ip 在 eda 技术的应用和发展中的意义是什么 ? p1112 答: ip 核具有规范的接口协议,良好的可移植

25、与可测试性,为系统 开发提供了可靠的保证。第二章2-1 叙述 p1316答:1.设计输入(原理图/hdl文本编辑);2.综合;3.适配;4.时序仿 真与功能仿真; 5.编程下载; 6.硬件测试。2-2 ip 是什么 ?ip 与 eda 技术的关系是什么 ? p2426 ip 是什么 ? 答:或 fpga/cpld 中的预先设计好的电路功能模块。ip 与 eda 技术的关系是什么 ? 答: ip 在 eda 技术开发中具有十分重 要的地位;与eda技术的关系分有软ip、固ip、硬ip :软ip是用 vhdl 等硬件描述语言描述的功能块,并不涉及用什么具体电路元件 实现这些功能;软 ip 通常是以

26、硬件描述语言 hdl 源文件的形式出现。 固 ip 是完成了综合的功能块,具有较大的设计深度,以网表文件的 形式提交客户使用。硬 ip 提供设计的最终阶段产品:掩模。2-3 叙述 asic 的设计方法。 p1819答: asic 设计方法 ,按版图结构及制造方法分有半定制 (semicustom) 和全定制 (full-custom) 两种实现方法。 全定制方法是一种 基于晶体管级的,手工设计版图的制造方法。 半定制法是一种约束 性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成 本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为 门阵列法、标准单元法和可编程逻辑器件法。2-4

27、 fpga/cpld 在 asic 设计中有什么用途 ? p16,18 答: fpga/cpld 在 asic 设计中,属于可编程 asic 的逻辑器件;使设 计效率大为提高,上市的时间大为缩短。2-5 简述在基于 fpga/cpld 的 eda 设计流程中所涉及的 eda 工具, 及其在整个流程中的作用。 p1923答:基于 fpga/cpld 的 eda 设计流程中所涉及的 eda 工具有:设计 输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及 hdl 的文本输 入方式。); hdl 综合器(作用: hdl 综合器根据工艺库和约束条件 信息,

28、将设计输入编辑器提供的信息转化为目标器件硬件结构细节 的信息,并在数字电路设计技术、化简优化算法以及计算机软件等 复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子 系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用: 完成目标系统在器件上的布局和布线);下载器(作用:把设计结 果信息下载到对应的实际器件,实现硬件设计)。第三章有何功能 ? 说明 gal 是怎样实现可编程组合电路与时序电路的。 p3436olmc 有何功能 ? 组合输出、专用输入、组合输出双向口、寄存器输 出、寄存器输出双向口等。说明 gal 是怎样实现可编程组合电路与时序电路的 ? (通用阵列逻 辑器件)是通

29、过对其中的 olmc (输出逻辑宏单元)的编程和三种模 式配置(寄存器模式、复合模式、简单模式),实现组合电路与时 序电路设计的。3-2 什么是基于乘积项的可编程逻辑结构 ? p3334 , 40答:gal、cpld之类都是基于乘积项的可编程结构;即包含有可编 程与阵列和固定的或阵列的 pal (可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构 ? p4041答: fpga (现场可编程门阵列)是基于查找表的可编程逻辑结 构。3-4 fpga 系列器件中的 lab 有何作用 ? p4345答: fpga (cyclone/cyclone ii )系列器件主要由逻辑阵列块 l

30、ab 、嵌入式存储器块( eab )、 i/o 单元、嵌入式硬件乘法器和 pll 等模块 构成;其中 lab (逻辑阵列块)由一系列相邻的 le (逻辑单元)构成 的; fpga 可编程资源主要来自逻辑阵列块 lab 。3-5 与传统的测试技术相比,边界扫描技术有何优点 ? p4750 答:使用 bst (边界扫描测试)规范测试,不必使用物理探针,可 在器件正常工作时在系统捕获测量的功能数据。克服传统的外探针 测试法和 “针床”夹具测试法来无法对 ic 内部节点无法测试的难题。3-6 解释编程与配置这两个概念。 p58 答:编程:基于电可擦除存储单元的 eeprom 或 flash 技术。 c

31、pld 一股使用此技术进行编程。 cpld 被编程后改变了电可擦除存储单元 中的信息,掉电后可保存。电可擦除编程工艺的优点是编程后信息 不会因掉电而丢失,但编程次数有限,编程的速度不快。配置:基于 sram 查找表的编程单元。编程信息是保存在 sram 中 的, sram 在掉电后编程信息立即丢失,在下次上电后,还需要重新 载入编程信息。大部分 fpga 采用该种编程工艺。该类器件的编程一 般称为配置。对于 sram 型 fpga 来说,配置次数无限,且速度快; 在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编 程。3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基 于乘

32、积项的可编程逻辑结构的 pld 器件归类为 cpld ;将基于查 【篇三: eda 技术课后答案】.1 eda 的英文全称是什么? eda 的中文含义是什么?答:eda 即 electronic design automation 的缩写,直译为:电子设计自动化。1.2什么叫 eda 技术?答: eda 技术有狭义和广义之分,狭义 eda 技术就是以大规模可编 程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表 达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发 系统为设计工具,通过有关的开发软件,自动完成用软件的方式设 计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑 综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯 片的适配编译、逻辑

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1