毕业设计177基于FPGA的CDMA数字基带收发系统.docx

上传人:b****4 文档编号:11586054 上传时间:2023-03-19 格式:DOCX 页数:37 大小:794.36KB
下载 相关 举报
毕业设计177基于FPGA的CDMA数字基带收发系统.docx_第1页
第1页 / 共37页
毕业设计177基于FPGA的CDMA数字基带收发系统.docx_第2页
第2页 / 共37页
毕业设计177基于FPGA的CDMA数字基带收发系统.docx_第3页
第3页 / 共37页
毕业设计177基于FPGA的CDMA数字基带收发系统.docx_第4页
第4页 / 共37页
毕业设计177基于FPGA的CDMA数字基带收发系统.docx_第5页
第5页 / 共37页
点击查看更多>>
下载资源
资源描述

毕业设计177基于FPGA的CDMA数字基带收发系统.docx

《毕业设计177基于FPGA的CDMA数字基带收发系统.docx》由会员分享,可在线阅读,更多相关《毕业设计177基于FPGA的CDMA数字基带收发系统.docx(37页珍藏版)》请在冰豆网上搜索。

毕业设计177基于FPGA的CDMA数字基带收发系统.docx

毕业设计177基于FPGA的CDMA数字基带收发系统

基于FPGA的CDMA数字基带收发系统

——调制部分

 

内容提要:

CDMA(CodeDivisionMultipleAccess)即码分多址接入技术,具有很强的抗干扰能力(信号隐蔽,抑制窄带干扰等)和保密性,地址改变也比较灵活。

我们在了解现代通信技术的发展、CDMA基本原理以及CDMA系统的工作过程的基础上,运用MAX+plusⅡ软件,通过编程在FPGA中实现了CDMA数字基带收发系统中的4路信息信号的扩频、编码调制。

系统首先将模数(A/D)转换器转换的4路数字信号通过Walsh码调制、PN码扩频、基带求和以及并串转换后得到基带信号,接收端通过相反的过程,解调出原数据信号,再通过数模(D/A)转换器,还原出原模拟信号。

本文给出了CDMA数字基带收发系统的软硬件设计方案。

并以AlteraMAX+plusⅡ为硬件开发平台,利用FPGA实现了4路信息信号的扩频、编码调制。

关键词:

FPGAWalsh码调制PN码扩频基带求和并串转换

DigitalBase-bandSystemofCDMABasedonFPGA

—ModulationPart

Abstract:

CDMA(CodeDivisionMultipleAccess)hasverystrongabilityofanti-jamming(signalcovert,suppressesselectiveinterferenceandsoon)andkeepingsecrecy.It’saddresschangingisquiteeasy.Basedonunderstandingthedevelopmentofmoderncommunication,thebasicprincipleofCDMAandtheworkprocessofsystem,weutilizetheMAX+plusⅡsoftware,realize4groupsinformationsignal’swideningfrequency,thecodingmodulationandthedemodulationbyprogramming.IntheCDMAnumeralbasebandreceivinganddispatchingsystem,thedispatchingsystemtransforms4digitalsignalswhichhavebeenswitchedbyanalog-digital(A/D)switch-boxthroughtheWalshcodemodulation,thePNcodespectrum-spread,thebasebandsummationandtheserialtransformationatfirst,thenthereceivingpartadoptstheoppositeprocess,demodulates4digitalsignals,gainstheprimedigitalsignals.Theprimedigitalsignalspassesthedigital-analog(D/A)switch-box,returnstotheoriginalsimulatedsignalsintheend.

ThisarticlehasproducedthedesignproposaloftheCDMAnumeralbasebandreceivinganddispatchingsystem.AndtakeAlteraMAX+plusⅡastheplatformofthehardwaredevelopment.Thesystemrealizesthewideningfrequency,thecodingmodulationandthedemodulationof4groupsinformationsignalbyFPGA.

Keywords:

FPGAtheWalshcodemodulationPNcodespectrum-spreadthebasebandsummationtheserialtransformation.

基于FPGA的CDMA数字基带收发系统

——调制部分

一、概述

CDMA是码分多址的英文缩写(CodeDivisionMultipleAccess),它是在扩频通信技术上发展起来的一种新的无线电技术,其原理是将需传送的具有一定信号带宽的信息数据,用一个带宽远大于信号带宽的高速伪随机码进行调制,使原数据信号的带宽被扩展,再经载波调制并发送出去。

接收端使用完全相同的伪随机码,与接收的宽带信号作相关处理,把宽带信号转换成原信息数据的窄带信号(即解扩),以实现信息通信。

CDMA具有很强的抗干扰能力(信号隐蔽,抑制窄带干扰等)和保密性,改变地址比较灵活。

扩频通信技术在军用通信中已有半个多世纪的历史。

1993年TIA批准CDMA为扩频率数字蜂窝系统标准以来,CDMA技术在国外得到迅速发展,已呈后来居上之势。

尤其在GSM的大本营欧洲,ETSI(欧洲电信标准委员会)审议G3(第三代移动通信)标准,无论采用Nokia、Ericsson还是Motorola、Siemens原型,都将采用CDMA作为空中接口标准,这也进一步确立了CDMA为商业移动通信网的主流方向。

在美国10大蜂窝公司中有7家选用CDMA。

在亚洲,CDMA技术商业化趋势更强,1995年,韩国LGIC公司推出世界上首批商用CDMA交换系统。

1995年9月,世界上第一个商用CDMA移动网在香港地区开通,1996年在韩国汉城附近开通世界上最大的商用的CDMA网,新加坡的CDMA个人通信网于1997年开通,这也是亚洲第一个CDMA个人通信网。

截至2003年9月25日中国联通的CDMA手机用户在突破300万大关后,正在以日进3万的速度向350万的目标进发,所有这些迹象表明,CDMA正在成为一项全球性的无线通信技术。

扩频通信技术、码分多址技术和同步技术是CDMA的关键技术,本设计旨在通过设计完成CDMA数字基带收发系统的过程。

二、CDMA基本原理

(一)引理

本设计系统是对CDMA码分多址技术所做的1个4路演示性系统,本系统省去了载波调制部分,把CDMA扩频系统中传输的信号简化为数字基带信号,并做出1个与之相应的扩频编码调制收发系统。

系统采用Walsh函数正交码作为地址码调制原数据信号,选用m序列作为扩频的伪随机码。

根据信息论的香农(Shannon)定理:

其中C为信道容量,W为信号带宽(单位为Hz),S/N为信噪比。

信道容量与带宽成正比,而在一定信道容量下,如果带宽W扩充到一定程度,那么就能在较低S/N要求下得到很高的传输质量。

这一点的应用就是采用伪随机码(PN)的扩频编码调制,把原数据信号变换成类似于白噪声的随机信号。

CDMA技术基于扩频通信的基本原理,将要传送的具有一定信号带宽的信息数据,用一个带宽远大于信号带宽的高速伪随机编码信号去调制它,使原信息数据信号的带宽被大大扩频,再经载波调制后发射出去。

接收端则使用完全相同的伪随机码,与接收的宽带信号作相关处理,把宽带信号变换成原信息数据的窄带信号(解扩)实现数据通信。

这种扩频通信的明显特点是采用编码调制、频谱扩展和相关处理技术。

使用扩频编码调制易于保密也可供多用户使用。

另外,对信息数据的频谱扩展,使功率谱密度明显降低,即不容易被别人发现又不容易干扰别人。

CDMA通信多址干扰的大小决定于扩频编码间的互相关值,如果该值非常小乃至可以忽略,那么接收解调输出结果就只有原数据信号和噪声。

所以CDMA可在同一载波频率上同时传送多个用户的信息、数据,实现多址通信。

编码之间的互相关值越小,多址干扰就越小,多址通信用户数就越多。

在香农信息论中,系统理论上最大容量的最终逼近实现技术之一就是:

高斯白噪声信道最利于传输的信号是高斯白噪声信号。

而采用伪随机码(PN)的扩频编码调制技术把原数据信号变换成类似于白噪声随机信号的CDMA无线通信技术,使这种理论的实现成为现实。

而最常用的伪随机序列之一就是下面设计中要用到的m序列。

(二)码分多址基本概念

在CDMA中还有一个很重要的技术就是码分多址。

码分多址与频分多址和时分多址不同,被分割的参量不是频率或时间,而是信号的波形,即码的结构。

这时复用的各个信号,从频谱或时间上看就不再是互不重叠的。

码分是利用各路信号的正交性。

基本方法是,在发送端先将多路信号分别由一组正交码进行某种调制或变换,使各路信号成为某种正交信号组,然后混合传输。

接收端产生一组与发送端同步的同样正交码,并将收到的信号与正交码组中的每个码分别作点积。

根据两个矢量相同信号的点积为1,两个矢量正交信号的点积为零,就可以利用复合信号中所含各信号的正交性,通过求点积来从复合信号中分离出各路信号。

 

图1码分多址示意图

例如有数字信号a1、a2,经正交码组Q1(11)、Q2(1-1)变换后得到正交信号组e1、e2,复合信号为e=e1+e2。

接收端用正交码组Q1、Q2与e作点积运算,就可分离出a1、a2(见图1)。

从图1中可以看出:

(1)e1和e2的码元速率与Q1、Q2相同,所以码分多址信号的频谱远大于原发送数字信号a1和a2的频谱。

(2)复合信号中的各路信号在频谱和时间上都是重叠的。

(3)收端的Q1、Q2与e不能正确同步就不可能正确分离a1和a2。

(4)要实现码分多路复用,就必须有足够多的正交码,即地址码数目要足够多。

上例只有两个地址码,所以只能实现两路复用。

地址码的选择直接影响到码分多址的容量、抗干扰能力、接入和切换速度等性能。

所选择的地址码应能提供足够数量的自相关函数特性尖锐的码序列,保证信号经过地址码解扩后具有较高的信噪比。

地址码提供的码序列应接近白噪声特性,同时编码方案简单,保证建立同步速率较快。

常用的地址码有:

①m序列,即最长线性的伪随机序列;②Walsh函数正交码等。

本设计采用Walsh函数正交码作为地址码调制原数据信号,产生一组码长为4的沃尔什码。

(三)沃尔什码

沃尔什(Walsh)码是正交码,经常被用作码分多址系统的地址码。

例如

就是一组码长为4的沃尔什码。

所谓正交性是指

上式说明这个码字内的4个码只有本身相乘叠加后归一化值是1,任意两个不同的码相乘叠加后的值都是0,即互相关值为零。

对于其他长度的沃尔什码也是这样。

上面的沃尔什码的码长是4,只有4个地址码,也就是系统的信道数不能超过4个。

当用信道数更多时,必须产生码长更长的沃尔什码。

沃尔什码的生成比较简单,可以通过哈德玛(HADAMARD)矩阵来生成。

下面我们先看一看上面的码长为4的沃尔什码,把它写成矩阵形式是

其中矩阵

取反(元素1变成-1,-1变成1),矩阵

其中矩阵

取反,矩阵

是[1]。

所有的WALSH码都可以通过这种方式来产生。

从而得到码长为2n的WALSH码。

其中n为大于1的正整数。

(四)PN码

CDMA是在扩频通信的基础上发展起来的。

所谓扩频通信,就是将要传送的具有一定信号带宽的信息数据,用一个带宽远大于信号带宽的高速伪随机码进行调制,使原始数据信号的带宽被扩展,再经载波调制并发送出去。

接收端使用完全相同的伪随机码对接收到的信号作相关处理,把宽带信号转换成原始信息数据的窄带信号(即解扩),以实现信息通信。

它通常采取的扩频方案有2种,一是直接序列(DirectSequence)扩频技术,另一种是跳频(FrequencyHopping)扩频技术。

本设计采用的是直接序列扩频方式(DS/CDMA)。

作为扩频码的伪随机码具有类似白噪声的特性。

因为真正的随机信号和噪声是不能重复再现和产生的,我们只能产生一种周期性的脉冲信号来近似随机噪声的性能,故称之为伪随机码或PN码。

用于扩频通信系统的伪随机码常用的共有2种,m序列优选对和Gold序列。

m序列优选对产生方便,但是数量较少,Gold码序列则可以有较多的数量。

由于本设计只有4路信号,为简便起见,选用m序列作为扩频码的伪随机码。

m序列具有与随机噪声类似的尖锐自相关特性,但它不是真正随机的,而是按一定规律周期性的变化。

由于m序列容易产生、规律性强等许多优良的特性,在扩频通信和码分多址系统中最早获得广泛的应用,以下介绍m序列伪随机码的产生和电路实现。

m序列是最长线性移位寄存器的简称,它的生成可用移位寄存器的本原特征多项式

来确定,一个本原特征多项式对应一个最大长度序列,也就是对应一个m序列。

表1给出了n阶本原特征多项式

的具体形式,n是移位寄存器级数,它的周期是P=

例如,当n=5时,表中给出了[2,5],[2,3,4,5],[1,2,4,5],其中[2,5]的多项式为

[2,3,4,5]的多项式为

[1,2,4,5]的多项式为

必须指出本原多项式的互反多项式还是本原的。

本表中没有列出互反多项式来。

一个n阶的互反多项式为

例如,多项式

是本原的,则互反多项式为

也是本原的。

表1m序列的本原特征多项式

n

2

[1,2]

3

[1,3]

4

[1,4]

5

[2,5][2,3,4,5][1,2,4,5]

6

[1,6][1,2,5,6][2,3,5,6]

7

[3,7][1,2,3,7][1,2,4,5,6][2,3,4,7][1,2,3,4,5,7][2,4,6,7][1,7][1,3,6,7][2,5,6,7]

8

[2,3,4,6][3,5,6,8][1,2,5,6,7,8][1,3,5,8][2,5,6,8][1,5,6,8][1,2,3,4,6,8][1,6,7,8]

有了特征多项式,可以用硬件电路来实现一个m序列。

下图是一最简单的三级移位寄存器构成的m序列发生器。

根据表1,取阶数n=3,对应的特征多项式[l,3]的互反多项式是[2,3],即

该移位寄存器是D触发器,在时钟脉冲CP上升沿到来时,输出

等于输入

途中第二、三级移位寄存器的输出

经模2加电路后反馈到第一级移位寄存器的输入

端,构成反馈电路。

当初始状态

为111时(其他初始状态也是如此),在时钟脉冲的控制下,各输出端的输出数据如图2(b)所示,得到输出周期为

的码序列1110010。

在输出一个周期的序列后,

又回到111状态。

在时钟的控制下,输出序列作周期性的重复。

1110010就是一个周期是7的m序列。

 

图2三级移位寄存器构成的m序列发生器

三、CDMA数字基带收发系统调制部分主要模块及仿真

本收发系统主要由调制器和解调器两部分组成。

完成的功能如下:

1.调制器:

内嵌于编码器中的信息信号发生器产生的4路输入信号,经Walsh调制、PN扩频、基带求和与并串变换成1路信号,完成调制。

Walsh码发生器、PN码发生器和信息码发生器都属于调制器的一部分。

2.解调器:

接收端将收到的1路信号首先进行串并变换,在取得同步的基础上行PN解扩和Walsh解调,恢复出4路输入信息。

具体结构参见整体概念性框图(见图3)及内部结构框图(见图6)。

 

图3CDMA基带收发系统整体性框图

本系统主要模块有码发生器(creator)和调制延时器(modulator_delay)。

码发生器又主要包括信息码发生器,Walsh码发生器和PN码发生器。

调制延时器中主要是信息码延时器,Walsh码调制器和延时器,PN码扩频器和延时器。

以上模块的仿真结果都能在附录A、附录B、附录C中看到。

该收发系统受同步时钟控制,各模块时钟是在全局时钟的基础上进行分频产生,分频系数见表2。

触发项

触发分频

触发项

触发分频

信息码发生器

96分频

基带求和

3分频

Walsh码发生器

24分频

并串、串并转换

全局时钟

PN码发生器

3分频

Walsh码解调&PN扩频

3分频

表2触发时钟分频

 

(一)码发生器

1.Walsh码发生器

本系统采用的Walsh码组为

考虑到是数字基带系统,应变为

该发生器利用MAX+plusⅡ编程实现较简单,在Walsh码时钟(全局时钟的24分频)的控制下,每出现一次时钟跳变事件(clk’event),输出端以4为周期依次输出1111,1010,1100和1001的码序列。

Walsh码发生器程序参见附录BWalsh3.vhd。

仿真波形如下图:

图4Walsh码程序仿真波形

2.PN码发生器

在前面已阐释了如何利用m序列发生器来产生PN码的原理,以下内容是如何用MAX+plusⅡ设计实现周期为

的PN序列。

MAX+plusⅡ不但提供了编程语言(例如VHDL)的设计方法,还提供了图形设计方法(扩展名为.gdf的文件)。

一个图形文件由若干符号(symbol)组成,这些符号既可以是系统提供的,也可以是通过编程语言设计生成的。

图5是该软件所提供的D触发器,可以在菜单“symbol”下的“max2lib\Prim”中找到。

实验中利用到7个这样的触发器相互级联实现周期为127的PN序列。

具体构成依据表1,例如,我们在这里取[l,7]的互反多项式[6,7]作为7级移位寄存器的特征多项式,PN码时钟为全局时钟的3分频。

D触发器的功能见表3。

PN码发生器原理图参见附录C图1。

表3D触发器功能表

输出

PRN

CLRN

CLK

D

Q

X

X

X

X

X

X

非法

上升沿

上升沿

X

保持

X

保持

注:

X表示高电平或低电平

3.信息码发生器

信息码的产生则只需在信息码时钟mess_clk的控制下,从同样的级联中引出4路信号作为信息码,信息码时钟为全局时钟的96分频。

信息码发生器原理图参见附录C图2。

(二)WALSH码调制与扩频

在数字基带系统中,Walsh码调制可以简单的用同或门来实现(详见本论文附录C的CDMA基带收发系统―调制部分图4)。

而PN扩频则可通过简单的异或门实现(详见本论文附录C的CDMA基带收发系统―调制部分图3)。

(三)CDMA基带收发系统内部结构

CDMA基带收发系统内部结构框图如图6所示。

从图中可以看到整个CDMA基带收发系统中4路信息的传输过程。

在发送部分分别产生4路信息,Walsh码和PN码,并用Walsh码调制4路信息,PN码扩频,最后通过基带求和,并串转换发送出去。

四、硬件部分

本部分设计主要由两大部分组成,即FPGA与A/D转换器。

FPGA的主要功能是实现4路信息信号的扩频、编码调制。

A/D转换器则是将模拟信号转换成数字信号。

本部分的硬件原理图参见图7。

FPGA(FieldProgrammableGateArray)是近几年出现的新型可编程逻辑器件,它不仅具有很高的速度和可靠性,而且具有用户重复定义的逻辑功能,即具有可编程的特点。

它的出现不仅使数字电路系统的设计非常灵活,而且大大缩短了系统研制周期,缩小了数字电路系统的体积和所用芯片的种类。

因此,利用FPGA器件设计本设计中的码发生器和码调制器,不仅使电路设计大大简化,而且具有相当高的精度。

Altera公司生产的EPF10K系列的FPGA,具有规模覆盖范围广、布线资源丰富、时间可预测性好的优点,在数字通信系统设计中得到了广泛的应用。

考虑到方案的性价比以及将来的功能的增加,本方案的FPGA选用EPF10K10TC144-4芯片,实现了系统的单片设计,从而大大减小电路的复杂度和体积。

ADC0809是CMOS单片型逐次逼近式A/D转换器,它由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近寄存器、三态输出锁存器等其它一些电路组成。

因此,ADC0809可处理8路模拟量输入,且有三态输出能力,既可与各种微处理器相连,也可单独工作。

输入输出与TTL兼容。

ADC0809的工作过程是:

首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。

此地址经译码选通8路模拟输入之一到比较器。

START上升沿将逐次逼近寄存器复位。

下降沿启动A/D转换,之后EOC输出信号变低,指示转换正在进行。

直到A/D转换完成,EOC变为高电平,指示A/D转换结束,结果数据已存入锁存器,这个信号可用作中断申请。

当OE输入高电平时,输出三态门打开,转换结果的数字量输出到数据总线上。

最后将MAX+plusⅡ软件程序下载到FPGA中,实现CDMA数字基带收发系统中的4路信息信号的扩频、编码调制。

系统首先将模数(A/D)转换器转换得8位数字信号通过Walsh码调制、PN码扩频、基带求和与并串转换后发送出去,接收端通过相反的过程,解调出原数据信号,再通过数模(D/A)转换器,还原出原模拟信号。

图7硬件部分PCB图

五、电路中延迟带来的问题和解决方案

1.硬件延迟带来的问题及解决方案

硬件不可避免的有延时问题。

为了消除它带来的影响,在编码端,用时钟的上升沿触发,在解码端用下降沿触发。

这是利用数字器件的触发特性,使编码时钟相当于提前了半个时钟。

因此得以改善延时问题,提高通信质量。

2.串并变换带来的延时及解决方案

系统设计中比较难的一点就是时延问题,因为在此实验中要求PN码、Walsh码与原输入信号应该比较严格对齐,但是其中的一些时延又是不可避免的。

硬件本身的时延比较小容易解决,但是串并变换时的时延是大于1个周期的,因为在并串变换中必须等到3路信号的串序码全部到来时才能完成串并变换。

因为在解调时,PN码、Walsh码和输入信号必须是一一对应的,所以在实现时我们将PN码、Walsh码进行延时处理,将所有的码字顺序后延,使所有的信号及码字重新-一一对应起来。

在本系统中,为了验证解出的码字与原信号是否相符,我们将原信号作一定的延时,以有利于比较。

在延时的实现中充分利用VHDL中SIGNAL的赋值特性(在赋值时都有一定的延时),可很容易实现码字的顺序后延。

六、调试

软件与硬件部分的设计完成以后,我开始进行调试。

首先将VHDL语言程序通过下载线和电路板上的下载端口下载到EPF10K10TC144-4芯片当中,然后开始一步一步针对各器件进行调试。

A/D转换器的调试过程为:

首先输入3位地址,并使ALE=1,选通8路模拟输入之一到比较器,在输出端接示波器,观察结果。

其他各元件测试过后,对整个系统作整体调试,在系统输出端口接示波器观察波形,直至波形与预期结果一致。

七、结束语

CDMA(码分多址接入技术)为第三代移动通信技术的主流技术。

第三代移动通信系统以强大的通信能力,融合语音、视频和数据,向人们提供丰富的多媒体业务,满足市场日益增长的移动通信需求。

CDMA作为主流技术对这些服务的提供起到了关键性的作用,也正在成为一项全球性的通信技术。

通过此次设计完成CDMA数字基带收发系统的过程,我加深了对CDMA的扩频通信技术、码分多址技术和CDMA系统的理解,更深入地将现代数字通信理论知识与工程实

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1