杭电数电实验课内题设计答案.docx

上传人:b****4 文档编号:11530899 上传时间:2023-03-18 格式:DOCX 页数:39 大小:89.85KB
下载 相关 举报
杭电数电实验课内题设计答案.docx_第1页
第1页 / 共39页
杭电数电实验课内题设计答案.docx_第2页
第2页 / 共39页
杭电数电实验课内题设计答案.docx_第3页
第3页 / 共39页
杭电数电实验课内题设计答案.docx_第4页
第4页 / 共39页
杭电数电实验课内题设计答案.docx_第5页
第5页 / 共39页
点击查看更多>>
下载资源
资源描述

杭电数电实验课内题设计答案.docx

《杭电数电实验课内题设计答案.docx》由会员分享,可在线阅读,更多相关《杭电数电实验课内题设计答案.docx(39页珍藏版)》请在冰豆网上搜索。

杭电数电实验课内题设计答案.docx

杭电数电实验课内题设计答案

数字逻辑电路

课内仿真实验

第六章Quartusll原理图设计初步

 

一、实验目的:

初步了解学习使用Quartusll软件进行电路自动化设计。

二、实验仪器:

Quartusll软件。

三、实验内容:

6-1用Quartusll库中的宏功能模块74138和与非门实现指定逻辑函数按照6.3节和6.4节的流程,使用Quartusll完整图6-2电路的设计,包括:

创建工程,在原理图编辑窗中绘制此电路,全程编译,对设计进行时序仿真,根据仿真波形说明此电路

的功能,引脚锁定编译,编程下载于FPGA中进行硬件测试。

最后完成实验报告。

1、原理图

両诬

YDN

A

V1M

ft

v?

Nl

C

Y酬

G1

T4IM

£Ah

Y州

G比h

ven

'i^N

0

Z:

BDECODER

~、r冋幅亍—j—_yp-

':

n:

tl;

■■.

!

・■■・[・・—・・・・UI•■■I■!

■■且

■b0bJ…Jk■LJ…―年1一…

■-■-p-pJipk■LJ

FNW

・・IHN91

…IPPJ49I....

…gk八却拽:

f=>E

||II-!

■i|Eqi1|1^11|1,JI1|1:

JI1|1i_.i!

■■_iiib-iiiih.-i|ih»M^iiLiiqiii;=iqiil^iRn■^■Rn

审厂

恥1

"=il2

T|H_3刊毗J刊口

=1匸10吨11

2、

波形设置

M^airimEd

A

T皿rjs&

Bn*

1[■

*L

-r

p.>

-i

h'

M

7

:

to5

F

BV

4

B)1X1

Z3Si出

EwJI

'F-弓舞"5平“15単“;[>弩":

*“30号"呼"4竽E«^竽"mq-36字“也4366呼6

10鬥5ra

3、仿真波形

 

l|Hii^『zf■

A里%

IPMu

[H

jAj

Al

W-?

外tf厂

S-

*匚

讪I

■-M

1W

T和I

:

CJ

XI

■HU

■1«?

l

6-2用两片

II■

:

E-FII

•叩U

IP513

THy7^rf"JYmrT"!

g?

rE"YTTmgrYm"ErrErE~rErY"Erir7rYTgmr~in3"irEmm"HrrBrfFj~]t抽xTrYT?

nrEnrEm?

r^

让仙aljlil阖JJjp斑迪仏比匕」和些■III!

h.■BjT网耻■:

押轲J&ijf测J应6^11^•亦型11.塁」山[^世碎nMf:

flI师世UJW*■

7485设计一个8位比较器

 

用两片

4位二进制数值比较器7485串联扩展为8位比较器,使用Quartusll完成全部设计和测试,包括创建工程、编辑电路图、全程编译、时序仿真及说明此电路的功能、弓I脚锁定、编程下载,进行硬件测试。

最后完成实验报告。

1、原理图

 

严・

:

All

曲隔"

cy・A7--—^1■n■^11n■111rII

rou別证TM«q■•■vq・H.■■I■kIH■^■

「■伽■却

B4

il

FI1

A2

B2

A2盟ALtIAibIAcei

ALBO^teaAGbO

『£3

iz-T:

疋-阁:

隔:

Hm

-■••■H■■■H■■■-■

7JSf

10日Q

31

11

A2

32

32ALtI盘Bl

AG刨

ALM

AtflS

AGdJ

k

r-mrOVPAH^TpP

■T'B-T'「f卩kLI叭-■

 

 

2、波形设置

耳忤十*鼬

li1>P*ra

■卜h«N

Wm

1^Ili>

Uinu

•箏—

叫一

£壬亘

nrt--

^)J®SX©®:

0®eKQS^J&:

E®E?

^:

®S»E«®®raniDa!

OE3®EKKEHa9KSE«EKeS^®KnKMKi5«)SS^EC&E©®®(^iinXiiSSe#®^WWe^5K<>WWO^WO««W&W«WWtfcW«WW"W«WWWWWW«»»WWW'JM'W"3W«»«^^WW'>«'K«?

WoWfl?

^

3、波形仿真

rJ"•

汀莎",卩『P

do・l・S・■■

“Tine

R1■■<■Tn

■frr

%j

卿阳rw

:

tJ

IflIkF却

0誓■n甲U

M¥n»毕V

Of斗DC111C^・ITHG

h.

辿0錘由saiaaB皿呼leiiiig皿皿jga胆I空umaitfii牡甸兰瞥;伽Eim踰血凹WK匹IX第皿isa国

Fi逛頁尊冋冋瀬丽価颐诵zior痢询J丽丽刨莎而耐刑忌:

口n口戦:

顾乔迥顽丽;诃輦礎hw.”]

l—Ti

6-3设计8位串行进位加法器首先根据图4-33,用半加器设计一个全加器元件,然后根据图4-34,在顶层设计中用8个1位全加器构成8位串行进位加法器。

给出时序仿真波形并说明之,引脚锁定编译,编程下载于FPGA中进行硬件测试,最后完成实验报告,讨论这个加法

器的工作速度。

1、原理图:

i.:

1...

-=Y

半加器

 

1位全加器

■--■---■■■--■■--■■■-«■■■■

A.

SO

■Illi.

-■■■»■»■■:

G

to

癒U....

■■■■■.••••■■>■:

BQ施

'f'tM-

 

8位串行进位全加器

O'

-I...

♦--■ts-'-TiiF:

JTJJ_

T

L

!

聲:

集成后的8位串行进位全加器

■■rtf”.

--代A--

^Btockl

fM

a

:

AtS

.:

Ef5

1BR

jAC

=E^3

:

严—一

…r~S>

_.WA\-*f.\W.WAWA-I\WA-.—SWi'A^mSWViwX-

.「州I—

卢WiiidWW・|WW|_IIAS

.IIBlJ

2、波形设置

k密

•淀;…

-I-J--

P--■r-

n--

SI--

Ci-1

jLan.

SoO

Oj-1-1

AC

Sol

&w

JLrj

3o2

All

So-J

严二

&l1

Co

ML

S04

B总

So5

Ai3

So€

CT-

BG

So7

Ai4

Bi4

Ai5

B6

Ai&

&e

AiT

&i7

■^TPu/r

jTPJT

So4

■T3,/r

>So5L

'-■■J-"-"—-"-

》303t.

I....I・I.As^I

>Cq「

4°^覚

"jZZZi—

So7H■

t■■|■■■^-■■|■■■■-■■|■T■■|•-"-K•T".I

.T3=,T

Iir=t

 

A

K,

ji■卜.2

l^iaIr:

-Lr

OL.I

鼻S3■r・

”EJ■刖■■If阡羊「iF巾zmyi":

TyJi担“活门単应g尸和W琴弓上甲』*竽・|戸9"靳■J討》#睥■暉,・dredi

2~J

 

3、波形仿真

7段数码管的十六进制码7段显示译码

4个输入端:

D、CB、A。

D是最高位,A

d、c、b、a,其中p和a分别是最高和最低位,p控

A,输入DCBA=1010;若小数点不亮,则输出

FPGA中对共阴数

6-5设计一个十六进制7段显示译码器

用Verilog的case语句设计一个可以控制显示共阴器。

首先给出此译码器的真值表,此译码器有是最低位;输出有8位:

P、g、f、e、制小数点。

对于共阴控制,如果要显示pgfedcba=01110111=77H,给出时序仿真波形并说明之,引脚锁定,下载于码管进行硬件测试。

1、程序代码

T

3

L£C?

3ZDy■

ILxpuc]3;ai

A

3-

*J

rsini

■sAwbVd1

LkJ

1

*■

■M羊和虹

1

十三DDOO1

ZZirS^-TKillIlllJ

1

r刖缸1

ur-i^=iPKBDgiiDE

*

9

H'=anni:

二CII-4-F-3)[111Dll=

rs'onii1

+

11

ri"3[]1D<];

二E5"=F4i・aainniin?

Aq

十倉01Q11

L^Jnr-^'SllOllDir

j3-

,駆UM1

“飞尸-鱼JdU狎“

n

斗・9Di丄丄1

Eir5<-^"30Daaiiij

At

VAlQQ^1

15

I"=1DD1:

Ho-=^i・aiiniiii=

4

an

raiDit1

tUF鼻t三r^IlligillE

n

IE

4-31D1-1:

HQ-K-F-aa111inn=

19

1

诲已决■肝鲂口塚dm

©

rilQ*1

十MlE1

,期Ha1

沪丹*1咆』11叩Q“

*

J4

eSsCbuIz.:

HB"乂"3aDDD□□□=

1

T

J5

Jt

JT

■Z^±KSdllZB

 

2、

电路原理图

:

…卩臨kt-

>de;

Dr+flchWineDVT

h■

A

D

□□TB波形设置

 

4、波形仿真

EI■1IBiB4TI■

1

1土l*・^'SatwWIm*(T

切4・

]|E

以4

辭"4・旳

9h

典■:

*和,?

I』祁1■»冈中WWfHLy・“甲nCT节oC叩屮"S»ffUI爭盯Hy叩■申和■j岬

■*!

1|L间回uc吃

iL

6-6设计一个5人表决电路用case语句设计一个5人表决电路,参加表决者5人,同意为1不同意为0,同意者过半则表决通过,绿指示灯亮;表决不通过则红指示灯亮。

给出时序仿真波形并说明之,引脚锁定,编程下载硬件测试。

最后完成实验报告。

1、程序代码

L=FrcL.D,7_r.ErL'^j-ri^

mT,y!

XW

mr■制.

6*W

a'H:

->noip

^zpxz

甘耳齢<31

kWIhl

EniML■

h

啦••

EFHIOL:

bagaz

*・R討讣•:

I理

T-B?

>lli1

Elu

?

'rw:

hen・

*1■

I-D^_bOL:

bcflu

忙BjNin■

a'mrii=

b^pLZ

卞临d1«1

Mfll

a

teoii

h

IKLlli=

bagiz.

K'p'jJiO^A

=|-nZ:

ECL3

^rpiz

斡ru艸IQ:

b*gM

E'DlJibbL_

lk*BJ_l

-I

Ktjj:

T'n2T:

OL:

C^a-

2•聘」心*3-

*44—

^■Ui^iii:

■・m■:

rcn:

t**f]^i

:

-Hl-ML:

Hz

5-BS.Dia

c*fld:

T'hlZESir

tvgiz.

±-HL_3oa:

片,肥叮CL

T-H:

3:

r

A-biLiH:

bqiJ

Ud_^M

a.z.iMni

113dJ1-9^1-13^34^小4IhpJ-IJ-Jdi-tducsp卫AYYYYyy:

4y於yetYYFYtYYYU丫丫Y於V£半Y*YYtIuI1■I■■I^1II-■■1」:

^1^1■■II■I■■i■■I^1:

:

^1I■III■■但*r1?

F_-_'Ywi-Y-Y■,Y百V『.”-rk『“,“i”yy『7

•tp二二二4*1+•■-■・*•〔•・・匸>1±tT・:

■-.•:

・丄『*--nrit■-L--t■>ILj*J-■二弗7-■-■■5i-»—7J1

-E

电路原理图

2、

3、波形设置

即IbMUrn

■iMH

f"O«

rMs

■iLtfllA

"ILtCu

js^r-7-g-■■!

Fn7|*=■*'s^v7-y、-n-■■■;■■■■■-■&>*■£—f、-m-b-jvjjy・_gv_、--■v-*j-b“=-vu^-a-t-jw-■■-.r—.r■■■-;^ru、_・u*-jwf'r=-=b■J■■aw』-lUvv—3、—P・j韵

T9nTTTTWTF?

aTf^TT99mWpT77TCTT2Tn7/vv*

T¥a?

fr7TT5WI?

vJtvlv^?

WTVW?

9™/_-.-,ZrJWrWT^■>TvwwrtiM?

?

P^T™TW?

VTW7W¥aT?

7?

^---rir.~CWTT7?

^T^^C'

Irt*1+«甲IT

lign

iBJikgd

4、波形仿真

 

A

>1*4R>

uni*

iq划■

禺申RI

■n爭5

d■

■Hi

I'暫E

艸、IH-

H

0ll

 

 

第八章时序电路的自动化设计与分析

8.1.1根据8.1.1节,首先使用74390设计一个2位十进制计数器,然后使此计数器在新的工程中作为一个可调用的元件,用它构建一个8位十进制计数器。

给出仿真结果,最后在FPGA上进行硬件验证。

 

 

波形仿真设置:

ar-

Flw

'Cer>^^^^V

―H=.j-Ln_rLnj-Lnj-i

*'-i~i^——-

■>'I

—ri^K^=z^=^

E・・

■■卄

u爭"ftmw

ui

*niii

cs

lar-

^TTTTI

0711

【"■LrLnjrLrLrLrLrLrLrLrLrLrL-LrLrLrLTLJ^LrL-LrLrLrLrLjrLrLrLrL

仿真波形:

SjidjIo3(iWoraii

SimitiakA.Iiuif

»WefclhBs

TOIB

夷IE

⑼価

Eic

A7

-I

I

Jll

zl

OJ

am

nun

idEC:

血医

]i

JI

B[

11

JI

原理图:

牛N护方和珥.即㈣彗.吩轉.W衬*6咿瞰牛

Aormm^nAmnRKAnrmLRmnoAiTwnAn

匚亟gjg£BHg®E®@®B®®SEDI?

]n£B{I®SS®E®®®S®SE囤

nr□

 

...H

二鬲

BEBKTr屯叮(1

st:

D1P

QX邮』

QAHT

■fj-.t...

—K

-:

M1C

K如

CL<咼4低LDir

[IX址隣苗.an

st*]

Tit

波形设置:

也fctTlW

園NrwTiwEr

171Sin

l-Wi«

IfC^rn

■■■■I■■■

.…2g:

;[

SL

ai

OJHl亦

(Dili

IQlDllf

lldlrn

U、PJ]ECj«-X^td-JE^te--O^uy(iB,sSlfui(!

I^a]^(|^UL9!

^u39?

^u

TUX£-二y""

Li.atH金E

"W

nrwinrwnnnirvwLmmfLrm「nwjuuwuuLrLWiRrunnmnjur

Hl

H'

H-

 

Ift仙曲川清

茅5妙讪脚

仿真波形:

ri■■Pl*

鈕IM

SiipJiiiofiWwetofnifi

Knhu™ids'

 

bHartffTntBa

3i5?

ut

Ent

E.

SI

c.

B—

w-

 

 

=

11-

根据

8.1.2节,用74161模块设计一个十二进制加法计数器,并注意计数器的可行性Quartusll创建工程,绘制电路图,全程编

FPGA中,在实验系统上硬

8.1.2

和可靠性考察;然后设计一个数控分频器。

利用

译,时序仿真,并根据仿真波形作出说明,引脚锁定编译后下载件验证,完成实验报告。

原理图:

波形仿真设置:

gteTneEa

"讪九"卩血"

他IE

nilIE址

1LDE

ps:

£无lO.UiB15,3(«a,eiiSGtSfliH1D.»;s址KisE*匸5l3£i;E:

.UtbS.S'j;n.Sic

rI■:

FIIIIIII・

11.(n?

03

PQ

__ni

0A0B0!

O'

»:

:

丨J

!

仿真波形:

 

Simullatian恤罰耶

Sinlihin,心-Tiiixj

RMaTEfTneEaE

A—

.,iH

昨眉

A

-+

01

rarQe

ITC?

5tJjpailff

「―5」辛:

ZId.牟u£15爭Ti0&爭⑪!

5jUH茫甲卫55.8Jo:

轴甲c蚯(1』圧51£ozStis51.4iz6ESisfl.feuzT£SczEl.®izS?

.tto=验Ki:

IIK】L^'—

_ll

7i

VI

iri

III

3P.531B

rta-'i

UH

UZ

CZ

^^rL^^^j^r^LrLrL^^~^^"

Bii

Tnnrinn~Tv~:

iro~^i~T~rT~nrio

f:

3D-

JI'

8.2.1Quartusll流程来实现。

利用一般模型设计一个同步模7计数器,其状态图如图所示。

结合第6章介绍的原理图:

 

9

10

12

15

17

AW

Battle打Cn;zp:

[2;Q]:

;aucpuc[2:

0]N;reg[2:

0]Y:

aaysStC,K)caae(C|s'boca:

MW咆Ml;3'bOCl:

S<=3't31:

;S'bOlO:

K<=3'b311;3'b0:

l:

舲牝血;3'blC3:

K<»''t:

01;3'blCl:

K<=3'bll0;3'bl:

Q:

K<=3'fc30:

;3t:

l:

只巧七临;default:

K<=3'b3(10jsadcaae

sr.±t3c£e

 

波形仿真设置:

 

也Sit

归IA中II饥中检平怆呻偏芈呼車申中叩

>1

St别in

CIu

01

1]

鵬%咖獗挪彌灘加爛WWW»W»B1«

ra

11

rr

=•

仿真波形:

SimulalionWaveforms

RMastei1reBai

A

S

Jl[

H

2].Gon>

JJfc血

193U

13.ZEus

S:

3t

丫血电,羽K

t5・1£h讥黃花】5・S血20,^0£2=.EiJs3CJ2w3&81m厭疋口纺.ffi苗孔2工

11-J111-1-1-「一_

滋苗bS

It

UD

rmTm^nnrLmnmnn^nrLnnr^rLnTL^

3JI

LID

II.1II111

111II11

11III

tio

1Li丨;iT「1n

UD

tX1nnRojnwiWTncyynTyTyiiyTTyyinm七.

111II11

1F

11.

111n11:

1III

1III.

111II11:

111IL

1111r

111n11

1Illi

11111r

111II11

111Z1[

111-ii

111II11

1111[

111'1r

1

1111[

1Illi

111011

111111

111■1r

\ii卩ii

1I\\\

111U11

1!

1!

1;

111II11

1III

1III

111u11

111111

822基于自动化设计方法的一般模型,设计一个模可控的同步加法计数器,要求当控制信号M=0时为六进制计数器,当M=1时为十二进制计数器。

 

原理图:

I'D

■fURCCTP51甲AIVrNJI?

ir:

¥=tI3;-□I匚;zTutp-Uu[1:

DJNj£2[、油IAlvayaiij[C,IT)

M3=-口4^cMoat4怡mm:

:

+■bODLO=4'bMli:

「□0迪at

rt<*i'tdcOl■

2化肚1二;M<=q'bOlOO;

rt<*1"tDlOl■

丨2

丄q

15

36

17

d"boioi:

a<-i'bDiio;吐hbOiLOfFw-l-bOll二;:

S<=q'tic:

}Q:

4*b:

00^>:

H<=q'bl001;

1-ua电幻I:

st-1■&3可i&f1-HfllO:

G'bLflll:

4*b:

100:

丸TKlDDf

^5

左B

4'blIf:

FJK^rbiiiOj斗-Hiio:

■^■hllll:

^-4'bDCOO;d-iaLjJ.-t汨咗・弓-1:

心€:

}0匸的dao暨

■kodXLuwOHFE(民HfR打K;

(2:

01盘;xeaR;e(A

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1