15秋福师《EDA技术》在线作业一满分答案.docx

上传人:b****8 文档编号:9897089 上传时间:2023-02-07 格式:DOCX 页数:10 大小:16.28KB
下载 相关 举报
15秋福师《EDA技术》在线作业一满分答案.docx_第1页
第1页 / 共10页
15秋福师《EDA技术》在线作业一满分答案.docx_第2页
第2页 / 共10页
15秋福师《EDA技术》在线作业一满分答案.docx_第3页
第3页 / 共10页
15秋福师《EDA技术》在线作业一满分答案.docx_第4页
第4页 / 共10页
15秋福师《EDA技术》在线作业一满分答案.docx_第5页
第5页 / 共10页
点击查看更多>>
下载资源
资源描述

15秋福师《EDA技术》在线作业一满分答案.docx

《15秋福师《EDA技术》在线作业一满分答案.docx》由会员分享,可在线阅读,更多相关《15秋福师《EDA技术》在线作业一满分答案.docx(10页珍藏版)》请在冰豆网上搜索。

15秋福师《EDA技术》在线作业一满分答案.docx

15秋福师《EDA技术》在线作业一满分答案

15秋福师《EDA技术》在线作业一满分答案

一、多选题(共10道试题,共20分。

1.下面哪些是专业提供第三方EDA软件工具的公司()。

A.Cadence

B.Mentor

C.Synopsys

D.Synplicity

正确答案:

ABCD

2.常用的综合工具有哪些()。

A.FPGAExpress

B.FPGAcompiler

C.SynplifyPro

正确答案:

ABC

3.目前的EDA技术主要特点有哪些()。

A.使用普及

B.应用广泛

C.工具多样

D.软件功能强大

正确答案:

ABCD

4.常用的集成FPGA/CPLD开发工具有哪些()。

A.MAX+plusII

B.QuartusII

C.ISE

D.ispLEVER

正确答案:

ABCD

5.TOP-down设计一般分为哪几个层次()。

A.系统级

B.功能级

C.门级

D.开关级

正确答案:

ABCD

6.综合有哪几种形式()。

A.RTL

B.逻辑综合

C.将逻辑门表示转换到版图表示

正确答案:

ABC

7.下面哪些是专业提供PLD器件厂商()。

A.Xilinx

B.Altera

C.Lattice

D.Micsoftware

正确答案:

ABC

8.EDA技术发展阶段描述正确的是()。

A.CAD阶段

B.CAE阶段

C.EDA阶段

D.以上都不对

正确答案:

ABC

9.IP核一般分为哪几种()。

A.硬核

B.固核

C.软核

D.以上全不对

正确答案:

ABC

10.目前常用的硬件描述语言为:

()。

A.Verilog

B.VHDL

C.和VC

D.VB

正确答案:

AB

福师《EDA技术》在线作业一

二、判断题(共40道试题,共80分。

1.VerilogHDL和VHDL目前还都不是IEEE标准。

A.错误

B.正确

正确答案:

A

2.VerilogHDL语法要素与软件编程语言(如C语言)是完全相同的。

A.错误

B.正确

正确答案:

A

3.IP核中的硬核可靠性高,能确保性能,能够很快投入使用。

A.错误

B.正确

正确答案:

B

4.仿真也称模拟,是对所设计电路的功能的验证。

A.错误

B.正确

正确答案:

B

5.SRAM是指静态存储器。

A.错误

B.正确

正确答案:

B

6.VerilogHDL中assign为持续赋值语句。

A.错误

B.正确

正确答案:

B

7.数字设计流程中的设计输入的表达方式一般有原理图方式核HDL文本方式两种。

A.错误

B.正确

正确答案:

B

8.SOC是SystemOnChip,芯片系统的缩写。

A.错误

B.正确

正确答案:

B

9.GAL是GenericArrayLogic,通用阵列逻辑的缩写。

A.错误

B.正确

正确答案:

B

10.HDL是HardwareDescriptionLanguage,硬件描述语言的缩写。

A.错误

B.正确

正确答案:

B

11.CPLD和FPGA都属于高密度可编程逻辑器件。

A.错误

B.正确

正确答案:

B

12.用状态机进行设计具有速度快、结构简单、可靠性高等优点。

A.错误

B.正确

正确答案:

B

13.CPLD是ComplexProgrammableLogicDevice,复杂可编程逻辑器件的缩写。

A.错误

B.正确

正确答案:

B

14.对设计而言,采用的描述级别越高,设计越容易。

A.错误

B.正确

正确答案:

B

15.PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。

A.错误

B.正确

正确答案:

B

16.Alter的FPGA器件主要由两类配置方式:

主动配置方式和被动配置方式。

A.错误

B.正确

正确答案:

B

17.目前常用的硬件描述语言为:

VerilogHDL和VHDL。

A.错误

B.正确

正确答案:

B

18.有限状态机的复位分为两种:

同步复位和异步复位。

A.错误

B.正确

正确答案:

B

19.VerilogHDL支持赋值语句。

A.错误

B.正确

正确答案:

B

20.绝大多数的FPGA器件都基于SRAM查找表结构实现。

A.错误

B.正确

正确答案:

B

21.SOC是指把一个完整的系统集成在一个芯片上。

A.错误

B.正确

正确答案:

B

22.VerilogHDL支持条件运算符。

A.错误

B.正确

正确答案:

B

23.在EDA设计中一般采用硬件描述语言(HDL)进行电路与系统的描述。

A.错误

B.正确

正确答案:

B

24.HDL是一种用文本形式来描述和设计电路的语言。

A.错误

B.正确

正确答案:

B

25.解释型仿真器速度慢一些,但可以随时修改仿真环境和仿真条件。

A.错误

B.正确

正确答案:

B

26.PLA是ProgrammableLogicArray,可编程逻辑阵列的缩写。

A.错误

B.正确

正确答案:

B

27.ASIC是专用集成电路的缩写。

A.错误

B.正确

正确答案:

B

28.PLD按照可编程的次数分为两类:

一次性编程器件和可多次编程器件。

A.错误

B.正确

正确答案:

B

29.QuartusII是Xilinx的FPGA/CPLD的集成开发工具。

A.错误

B.正确

正确答案:

A

30.PLD器件内部主要由各种逻辑功能部件和可编程开关构成。

A.错误

B.正确

正确答案:

B

31.混合仿真器就是能同时支持Verilog和VHDL的仿真器。

A.错误

B.正确

正确答案:

B

32.时序仿真也叫后仿真。

A.错误

B.正确

正确答案:

B

33.如果只需要在上电和系统错误时进行复位操作,采用异步复位方式比同步复位方式好。

A.错误

B.正确

正确答案:

B

34.状态机可以分为:

米里型和摩尔型两类。

A.错误

B.正确

正确答案:

B

35.JTAG是JointTestActionGroup,联合测试行动组的缩写。

A.错误

B.正确

正确答案:

B

36.反熔丝型开关元件一般用在对可靠性要求较高的军事和航天产品器件上。

A.错误

B.正确

正确答案:

B

37.VerilogHDL不支持逻辑运算符。

A.错误

B.正确

正确答案:

A

38.浮栅编程元件一般用在民用、消费类产品中。

A.错误

B.正确

正确答案:

B

39.不考虑信号时延等因素的仿真称为功能仿真。

A.错误

B.正确

正确答案:

B

40.Verilog语言即适合可综合的电路设计,也可胜任电路与系统的仿真。

A.错误

B.正确

正确答案:

B

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 文学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1