基于VHDL汽车尾灯控制电路的设计.docx

上传人:b****8 文档编号:9642967 上传时间:2023-02-05 格式:DOCX 页数:31 大小:1.26MB
下载 相关 举报
基于VHDL汽车尾灯控制电路的设计.docx_第1页
第1页 / 共31页
基于VHDL汽车尾灯控制电路的设计.docx_第2页
第2页 / 共31页
基于VHDL汽车尾灯控制电路的设计.docx_第3页
第3页 / 共31页
基于VHDL汽车尾灯控制电路的设计.docx_第4页
第4页 / 共31页
基于VHDL汽车尾灯控制电路的设计.docx_第5页
第5页 / 共31页
点击查看更多>>
下载资源
资源描述

基于VHDL汽车尾灯控制电路的设计.docx

《基于VHDL汽车尾灯控制电路的设计.docx》由会员分享,可在线阅读,更多相关《基于VHDL汽车尾灯控制电路的设计.docx(31页珍藏版)》请在冰豆网上搜索。

基于VHDL汽车尾灯控制电路的设计.docx

基于VHDL汽车尾灯控制电路的设计

基于VHDL汽车尾灯控制电路的设计

摘要:

现在我们正处在21世纪,也是高科技时代,更是电子信息的时代。

EDA技术不断的深入和扩展。

尤其在电子信息,各行业的通信方面,自动控制方面,以及计算机等方面的重要性越来越起到举足轻重的地位。

本设计是基于EDA技术中的VHDL所开发的新型尾灯控制器,实验调试平台软件是QuartusII,并对控制器进行了编译,功能仿真并验证正确。

它的体积小,功耗低,低成本,安全可靠,能够实现汽车正常行驶,左转,右转,刹车时的尾灯相应的明灭功能。

本设计升级与改进极为方便,对更高层的汽车尾灯控制设计具有一定的拓展性。

实验结果表示,这样的系统没有传统的系统设计中的串线的问题,硬件的功能也可以像软件一样通过编程来修改,非常有可靠性,体积小,极大的提高了电子系统的设计的灵活性和通用性。

本设计实现的主要功能是:

当汽车左转时,左边的三个LED灯从右向左依次亮灭;当汽车右转时,右边的三个LED灯从左向右依次亮灭;当汽车刹车时,六个LED灯同时亮灭。

关键词:

VHDL;汽车尾灯;QuartusⅡ

ThedesignoftheautomobiletaillightcontrolcircuitonVHDLlanguage

Abstract:

Nowweareinthe21stcentury,whichisalsothehigh-techera,butalsotheeraofelectronicinformation.EDAtechnologycontinuestoin-depthandextension.Especiallyinelectronicinformation,variousindustriesofcommunications,automaticcontrol,andcomputerandsoon.Theimportanceofmoreandmoreplayapivotalposition.ThisdesignisbasedonthetechnologyofEDAVHDLdevelopmentofnewtaillightcontroller,theexperimentplatformofcommissioningQuartusIIsofeware,andthecontrollercompiled,timingsimulationandverifycorrect.Itssmallsize,lowpowerconsumption,lowcost,safe,reliable,andcanmakethenormaldriving,turnleft,turnright,thecorrespondingbrakingtaillightsflickerfunction.Thisdesignupgradeandimprovementisextremelyconvenient,thehigherautomobiletaillightcontroldesignhascertainexpanding.Theresultoftheexperiment,whichsaidsuchasystemwithoutthetraditionaloccurrencesofproblemsinsystemdesign,thefunctionofthehardwarecanalsobeprogrammaticallymodifylikesoftware,greatreliability,smallvolume,greatlyimprovetheelectricalsystemdesignflexibilityandversatility.

Themainfunctionisdesignedandimplemented:

Whenthecarturnleft,theleftthreeLEDlightsinturnfromrighttoleftout;Whenthecarturnright,therightofthethreeLEDlightsfromlefttorightinturnbright;whenthecarbrakes,sixandbrightLEDlights

keywords:

VHDL;Cartaillight;QuartusⅡ

 

第一章引言

1.1设计的背景

随科学技术的快速发展,电子行业经历了大跃进。

集成电路的各方面设计是朝着更快速、高性能、大容量、体积小、低功耗的方向发展,此趋势必然导致集成电路设计规模的增加,并增高了复杂性。

在现代信息科技快速发展的情况下,使用超过十多年的电路设计方法不能满足现代这种更高速,更高性能,更大容量,低功耗的需要。

所以我们必须采用一种新方法硬件电路的设计,而不是传统的硬件电路的设计方法,以满足大规模电路设计的需要,根据硬件系统的行为和功能的要求,“自上而下”和一层的完整描述模拟、合成、优化和布线工作等等,直到可以实现硬件的设计或计划

在设计过程中除了硬件的行为和功能描述,其他可以使用计算机来完成设计过程,这种方法被称为电子设计自动化(EDA)。

“电子设计自动化”的首要特质是要求利用的语言是形式上的。

来描绘各种硬件电路的种种功能,硬件语言就是通常我们所接触的硬件的电子电路。

VHDL语言全称为VeryHighSpeedIntergratedCircuitHardwareDescription,各种EDA工具和共同认可和促进集成电路制造商,目前在发达国家及全球电子系统设计范围广泛的应用程序

不论是现在我们所处的社会,还是在未来将会有越来越多的人接受它,并且会大量使用它。

1.2设计的目的

这个计划的方针是在对计算机组成原理深入理解的基础上来完成,了解而且能够把握EDA和VHDL语言描绘各种语言以及各种思想的方式。

在计算机构成的原理的指引下,经过对VHDL语言的研究,在此情况下并且连接电子电路的计划理论与实际的知识,操作的步骤和所计划的各种学问以及根本单元的电路中的不同设计应用程序集成。

基于适用的汽车尾灯这种控制器的计划,归纳并且发挥所学习的学问,增强和升高集成电路计划的能力,提升计算机技术和单独能够解决实际问题的能力首先设计一个能满足现代汽车的智能汽车尾灯控制电路。

改进体系,降低计划筹划等。

其次,学习VHDL硬件描述语言,可以深化VHDL语言知识的理解和掌握,提高我们的学习能力和创新精神在工作或生活中,适应21世纪社会的快速发展。

此次设计的目的是经过实践能够辅助我们加深对计算机构成原理的更为深入了解。

并且机身对EDA技术的印象以及对VHDL硬件描述语言和思想的设计方法。

计算机构成原理的指引下,经过VHDL的研究连接电子电路的计划理论与实际中我们所学习的知识,掌握课程的常识和根本单元电路计划应用程序的各种集成。

基于此次对适用的汽车尾灯这种控制器的计划方案,归纳和发挥我们所学过的常识或者学问,增强和抬升集成电路计划能力,提高能力和解决实际问题的个人计算机技术的工作方式。

仿真技术的不断发展(EDA)与此同时,数字系统在许多方面发生了很大的变化,例如设计技术,还有设计工具,硬件描述语言(VHDL)来描绘的数字系统的硬件电路是我们所学习的EDA的关键技术之一。

在我们所使用的硬件描述语言中VHDL语言是其中的主流,因为它拥有一个强大的“电路描述”,“建模功能”,并对电路的具体特征是独立的,易于阅读的语言和层次结构显示了强大的生命力和应用前景

在人民生活水平富裕的情况下,汽车的需要会不停的增加。

是因为人们会跟着生活的快速节拍也愈来愈忙碌,无论是黑夜还是雨天,大雾或者还有其他气候原因的状态下,我们都会开着各种汽车在拥挤的马路上行驶,所以在车辆安全驾驶人各方面要求也越来越高,“尾灯控制系统带来了极大的方便。

“汽车智能系统”的开发来控制汽车尾灯,硬件电路也有其自身的局限性,不仅不能改变和内部电路性能的作用。

为了提高人们夜间或因为天气原因交错开车在路上的安全系数,也为了减少交通事故的数量。

因此选用了比较前卫的EDA技术,QuartusⅡ平台和VHDL语言,之后我们可以设计基于FPGA的汽车尾灯的各种控制系统,并且系统我们举行了仿真和考证。

利用FPGA芯片来完成现代汽车尾灯控制系统。

通过模拟和分析结果的验证,它适应现代汽车智能的发展需求。

它不仅化简了汽车尾灯其中的各种控制系统,也能够降低成本,并且可以升高体系的先进性也能够提升体系的可靠性,更能实现控制器的各方面的系统编程。

使用这个设备开发的数字系统的改进和升级非常的方便。

此种控制电路,结构特别简单,性能非常稳定,操作十分方便,抗干扰能力很强。

它不仅能够应用于现代汽车,不会受到黑暗和大雾、潮湿的天气因素等各方面的影响,这种电路可以提高行车安全,同时也可以避免交通事故的发生。

真正可以让汽车消费者体会到驾驶的方便和安全开车。

1.3课题的内容

1.3.1设计的主要内容

依据状态机的原理,使用硬件描述语言,每个模块计划的汽车尾灯控制器,并使用EDA工具仿真模块。

尾灯控制器有四个控制模块

:

第一个模块为时钟分频模块,还有所熟知的模块即尾灯控制模块,以及通常所说的灯控制模块上的左侧和右侧灯控制模块。

和各个模块形成后的汽车尾灯控制器。

时钟信号输入系统的分析之后,我们知道控制信号和相关的汽车,汽车尾灯显示控制的情况。

可以使用6“LED”模仿6盏尾灯(左3,右3),转弯的时候分别可以由两个开关来控制(右转弯一个开关控制,左边转弯一个控制)。

要求:

如果是往前正在行驶时(此时两个开关都没有在接通的状态下),则此时6盏灯全灭。

若右转弯(接通右拐弯的开关被接通时),右边3盏尾灯从左到右依次亮灭,左边的那3盏灯全灭;转左(左转向开关),左3盏尾灯从右到左的可以看到他们熄了灯,这对3灯不亮的状态。

当左、右两个开关都接通时,明、暗闪烁为此时6盏灯的状态。

用6只发光二极管(leftdeng0,leftdeng1,leftdeng2,righdeng0,righdeng1,righdeng2)来示意为6个尾灯(左右各3个),此时的两个开关tleft,tright,状态此时表示为:

前进行驶中,左转弯状态中,右转弯状态中,和刹车的状态。

前往行驶时,6个汽车尾灯被控制为全灭;向左转,3灯从右到左依次亮灭,,右侧3个灯被控制为全灭;向右转时,3灯从左到右被控制为依次亮灭,左边3个灯被控制为全灭;刹车时,6个灯被控制为同时明、暗闪烁。

依据以上的所以剖析能够画出尾灯和汽车运转关系的状态表:

 

表1尾灯和汽车运转关系

开关

状态

左侧灯

右侧灯

ldeng(0、1、2)

rdeng(0、1、2)

00

汽车前往行驶

灯灭

灭灯

10

向左转弯

从右到左依次亮灭

灭灯

01

右侧转弯

从左侧到右侧亮灭

11

刹车

6个灯同时明、暗闪烁

通过以上分析,我们可以写出的VHDL语言程序代码,通过QuartusII软件进行编译和仿真流程。

1.3.2工作原理

汽车尾灯控制器是一个状态机实例。

当所有的灯都不亮时,汽车可以被理解为正常,如果汽车的右转时,右边RD1明亮;如果我们说开的车子转向左侧的时候,在车子的左侧的LD1亮;当汽车刹车时候,RD2和LD2明亮是在相同时间;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。

建立了输入信号的系统时钟作为时钟信号,左转向信号,控制信号的左边,右边是正确的汽车的控制信号,用于汽车制动器的制动信号

 

第二章电路及各模块介绍

2.1总体电路原理框图

图1尾灯控制原理图

功能表:

依据设计的课题要求和电路原理框图,它们的逻辑功能表:

表二逻辑功能表

开关控制

三进制计数器

6个指示灯

tlefttright

Q1Q0

Lefdeng(0,1,2)rideng(0,1,2)

00

XX

000000

10

01

10

11

100000

010000

001000

01

01

10

11

000001

000010

000100

11

XX

111111

2.2主要模块介绍

汽车尾灯主要由四个模块构成:

分别是时钟分频模块,主控模块,左边模块,右边模块

2.2.1时钟分频模块

“时钟分频模块”图

图2时钟分频模块工作框图

时钟分频模块”可以通过VHDL程序实现,代码是用VHDL语言描述:

ARCHITECTUREARTOFSZIS

SIGNALCOUNT:

STD_LOGIC_VECTOR(7DOWNTO0);

BEGIN

PROCESS(CLK)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

COUNT<=COUNT+1;

ENDIF;

ENDPROCESS;

CP<=COUNT(3);

ENDART;

 

2.2.2汽车尾灯主控模块

下面是汽车尾灯的主要控制模块的框图

图3主控模块工作框图

主控制模块,汽车尾灯可以VHDL程序来实现的,下面的VHDL代码是用来表达语言的主要控制模块汽车尾灯:

ARCHITECTUREARTOFCTRLIS

BEGIN

NIGHT_LED<=NIGHT;

BRAKE_LED<=BAKE;

PROCESS(LEFT,RIGHT)

VARIABLETEMP:

STD_LOGIC_VECTOR(1DOWNTO0);

BEGIN

TEMP:

=LEFT&RIGHT;

CASETEMPIS

WHEN"00"=>LP<='0';RP<='0';LR<='0';

WHEN"01"=>LP<='0';RP<='1';LR<='0';

WHEN"10"=>LP<='1';RP<='0';LR<='0';

WHENOTHERS=>LP<='0';RP<='0';LR<='1';

ENDCASE;

ENDPROCESS;

ENDART;

2.2.3左边灯控制模块

左灯的控制模块图如图所示

图4左边灯控制模块的工作框图

左边灯的实现控制模块:

ARCHITECTUREARTOFLCIS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,LP,LR)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

IF(LR='0')THEN

IF(LP='0')THEN

LEDL<='0';

ELSE

LEDL<='1';

ENDIF;

ELSE

LEDL<='0';

ENDIF;

ENDIF;

ENDPROCESS;

ENDART;

2.2.4右边灯控制模块

右边的控制模块图如图所示

图5右边灯控制模块的工作框图

主控制模块的VHDL程序,可以实现的VHDL代码,下面是主控制模块用于语言说车右灯:

ARCHITECTUREARTOFRCIS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,RP,LR)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

IF(LR='0')THEN

IF(RP='0')THEN

LEDR<='0';

ELSE

LEDR<='1';

ENDIF;

ELSE

LEDR<='0';

ENDIF;

ENDIF;

ENDPROCESS;

ENDART;

 

第三章硬件描述语言的介绍

3.1VHDL语言的特点

对轻型车的主控制模块的VHDL程序,可以实现的VHDL代码,下面是主控制模块用于语句车右灯:

一般的“自底而上”的计划方法很难顺应现在的快速的局势。

EDA(电子设计自动化)的产生,它使电子系统都发生了根本性的改变计划。

EDA技术是依赖于一个强大和灵活的计算机操作,EDA软件平台,我们可以采用VHDL,现在的“自顶而下地一层一层”实现对应的描述,进而可以进行综合、优化配置,仿真并且来验证,有的因为需要可以生成器件

1VHDL特点

VHDL语言首先被美国国防部提出的。

我们通常所说的数字逻辑电路以及在学习中接触到的数字系统的设计都可以用VHDL来描绘,对于电子电路来说是一项革命性的变化。

(1)设计水平是高的,和用于计算复杂的电路设计,可以让我们知道尽快发现问题,可以大大缩短设计周期的电路。

(2)能够独立的实现任务,修改也特别的方便,系统的这种硬件描述能力也特别的强。

(3)可读性也特别的好,会更加有利于交流,更加适合于文档保存。

(4)VHDL语言不但很标准,而且也很规范、此外移植性也很强。

(5)VHDL式的VHDL不仅可以支持用户定义的,而且支持“自上而下”的方法,许多电路类型

3.2VHDL结构

1、库(LIBRARY)

库可以包含包集合、公共机构和公共机构的设计,当我们需要引用一个库的时候,我们需要显示库名称并且对库的名字进行说明,格式如下:

LIBRARY库名

然后我们就可以使用本来的库中原来已经编译好的设计。

在库的成套包装集合访问之前必须使用语句可以打开通过。

对格式:

USE程序包名

2、它可以用在数据类型和函数。

用法:

IEEE库。

硬件描述语言标准库。

包集合,英文名称是Package。

而且还可以有常数定义,更有数据类型,元件语句,函数的定义和过程的定义等等。

你可以使用语句说明使用的软件包组。

使用IEEESTD_logic_1164.ALL;

3、配置(Configuration)

配置语句的格式:

CONFIGURATION配置名OF实体名IS

[语句说明];

END配置名;

4、包集合、库及配置

1、硬件描述语言(VHDL),大多数的语句是可以用来执行的语句,在这个过程中,程序块语句以及子程序(包括函数),还有特别多的顺序执行语句,根据出现的次序来执行单个以及各个语句

常用的两个下面的语句,例如,其他语句引用其他书籍。

2、IF语句

IF语句是依据制定的不同条件来实行语句的,其格式由三种:

第一种

IF条件THEN顺序语句

ENDIF

第二种

IF语句二选择控制,格式为:

IF条件THEN

顺序处理语句;

ELSE

顺序处理语句;

ENDIF;

第三种

IF语句的“多选择控制”也可以称作IF语句的嵌套格式,其中书写格式可以表示为:

IF条件THEN

顺序处理语句;

ELSE

顺序处理语句;

……

ELSEIFTHEN条件

顺序处理语句;

ELSE

顺序处理语句;

ENDIF;

3、CASE语句

case语句中经常使用的线,译码器结构

那如果陈述表可读性好,很简单。

书写格式:

CASE表达式IS

WHEN条件表达式=>顺序处理语句;

ENDCASE;

“=>“不操作条件,只相当于“然后”。

 

第四章软件程序

4.1Quartus主要符号介绍

QuartusII的主要界面

图6QuartusII界面

【New】选项:

新建工程或文件

【Open】选项:

打开一个文件。

【NewProjectWizard】创建一个新的项目。

对话框。

图7NewProject对话框

【View】:

这个按钮可以全屏显示或各种窗开关,开关包括一个层次结构窗口,状态窗口,窗口消息。

【Assignments】菜单

图8Assignments菜单

【processing】:

这个菜单功能可以正确的我们所有的电路在编纂工作的电路设计和电路设计的检查。

图9processing电路设计

【StartCompilation】开始的按钮开始全编译过程点,包括集成电路设计,分析适应,程序集的文件工作,时序分析,

【tools】菜单

【tools】菜单的功能是

【runEDAtiminganalyzertool】选项:

时序分析工具在EDA上运行,EDA此时是作为第三方仿真工具。

【Programmer】按下此按钮后,你可以让程序员打开窗户,Altera的各个组件可以下载编程

4.2总体流程图

图10总体流程图

我们打开QuartusII在桌面软件选择文件按钮【File】向导后,在选择【New】,之后单击【NewProjectWizard】指定的对话框

其中,选择好我们选择的保存路径,输入的工程名可以名为weideng,我们只是进行功能和时序仿真,所以在此界面上只单击【Finish】即可。

然后就可以来建立VHDL程序文件,此时的操作为【File】,【New】操作为打开新建文件。

而后在选择VHDLFile就行了。

在最后面的是输入我们所写的汽车尾灯程序的控制代码,后面在保存以及可以对该工程文件进行编译,若是在编译过程中出现错误,或者警告,应该找出错误并加以改正,直到编译成功为止。

 

第五章系统仿真

编译结果:

图11编译结果

编译的过程:

我们打开QuartusII在桌面软件点击文件按钮【File】向导后点击【New】,再点击【NewProjectWizard】。

其中,选择好我们选择的保存路径,输入的工程名可以名为weideng,我们只是进行功能和时序仿真,所以在此界面上只单击【Finish】即可。

然后就可以来建立VHDL程序文件,此时的操作为【File】,【New】操作为打开新建文件。

在后面的是控制代码输入我们所写的程序后尾灯,保存以及项目文件,如果出现错误在编译过程中,或警告,要找出错误并改正,直到编译成功为止

仿真过程及步骤:

首先我们应该点击按钮为【File】>>【NewOtherFiles】>>【VectorWaveformFilter】>>在单击此界面的OK建就行,然后再此界面上的name下单击鼠标右键>>Insert>>InsertNodoeorBus>>NodeFinder,之后在Filter:

之中选择Pins:

all>>List单击>>符号添加所有引脚>>OK>>OK>>设置好电平后保存。

之后再选择Processing>>SimulatorTool>>在Simulationmode在此界面上选择Function仿真时间选择[定时如果功能仿真功能;之后再选择GenerateFunctionalSimulationNetlist>>start>>Report才能完成功能仿真。

进行时序仿真和功能仿真差不多步骤。

只需要在Simulationmode界面中选择Timing就可以了。

功能仿真的波形图如下所示:

图12波形图

由上图仿真的

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 总结汇报 > 学习总结

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1