24小时定时电路资料.docx

上传人:b****7 文档编号:9495779 上传时间:2023-02-04 格式:DOCX 页数:22 大小:304.40KB
下载 相关 举报
24小时定时电路资料.docx_第1页
第1页 / 共22页
24小时定时电路资料.docx_第2页
第2页 / 共22页
24小时定时电路资料.docx_第3页
第3页 / 共22页
24小时定时电路资料.docx_第4页
第4页 / 共22页
24小时定时电路资料.docx_第5页
第5页 / 共22页
点击查看更多>>
下载资源
资源描述

24小时定时电路资料.docx

《24小时定时电路资料.docx》由会员分享,可在线阅读,更多相关《24小时定时电路资料.docx(22页珍藏版)》请在冰豆网上搜索。

24小时定时电路资料.docx

24小时定时电路资料

武汉科技大学继续教育学院

自学考试本科毕业生

毕业设计(论文)

11级机电一体化专业

题目:

24小时定时电路

学生姓名:

蔡建

准考证号:

014913273833

指导老师:

刘运苟

时间:

合作办学单位:

武汉科技大学(洪山校区)

 

摘要

时间,是人类永恒的追问。

随着科技的进步与生活方式的改变,人类发明了种类繁多的计时器。

从原始的太阳钟到现在的原子钟,计时器追随者人类的进步的步伐,经历了计时精度由模糊到精确地过程。

而计时器的种类也经历了从单一型到多样化的蜕变。

计时器,感知着人类的发展与文明。

本文将从人类与计时、中国生活方式与计时器、西方生活方式与计时器、计时器的“再设计”四个方面探讨计时器,同时对未来计时器设计提出思路。

Timeisaneternalquestionofmankind.Withtheadvancesintechnologyandchangesofthelifestyle,humaninventedawiderangeoftimer.Fromtheoriginalsunclocktothecurrentatomicclock,timerfollowersthepaceofhumanevolutionandmakestimefromfuzzytoaccurate.Thetimeralsolooksfromasingletypetodiversiform.Timertellsthehumandevelopmentandcivilization.Thisarticlewilltalkabouttimerinfouraspectswhicharehumanbeingandthetimer,Chineselifestyleandthetimer,Westernlifestyleandthetimer,thetimer’s“re-design”.Andalsoputforwardideasforthefuturetimerdesign.

 

目录

摘要..........................................................................................1

1.概述..................................................................................4

1.1电子定时器的应用............................................................4

1.2电子定时器的发展前景....................................................4

1.3电子定时器的发展前景....................................................5

2.模块设计.........................................................................6

2.1秒信号发生器电路..........................................................6

2.2计时模块........................................................................8

2.3报警模块........................................................................9

2.4显示模块.......................................................................10

2.5预设时间电路设计.........................................................11

2.6启动/停止电路设计......................................................13

2.7复位电路设计......................................................................13

2.8调试................................................................................14

2.9设计电路图.....................................................................15

3.系统设计过程..............................................................17

3.1分频器模块....................................................................17

3.2秒计时模块....................................................................20

3.3分计时模块....................................................................22

3.4时计时模块....................................................................24

3.5显示模块........................................................................27

4.电源设计......................................................................29

5.总结...............................................................................31

一.概述

我们在日常生活中,经常会碰到一些需要定时的事情,例如:

印相和放大图片,需要定时在零点几秒的时间,洗衣机洗涤衣服需要定时在几分钟到几十分钟的时间,电风扇需要定时数十分钟的时间。

完成这种定时的定时器有多种多样,在家用电器中采用机械定时器就是根据一般上弦钟表原理设计的,这种定时器虽然结构简单,成本低,维修也比较简单,但是它的触头频繁接触和断开,大大的缩减了它的使用寿命,也不利于进一步全自动化。

在电子技术突飞猛进的今天,电子计时器一定会逐步取而代之,这是不言而喻的。

本文是基于74LS192设计的定时器。

1.1课题的来源和意义

论文课题是通过导师精心筛选提出的,基于单片机的电子定时设计课题不一定很大,但需要通过亲手做一遍全过程,完成一个产品制作,这个过程的收获是很大的

1.2电子定时器的应用

电子定时器在家用电器中经常用于延时自动关机、定时。

延时自动关机可用于:

收音机、电视机、录音机、催眠器、门灯、路灯、汽车头灯、转弯灯以及其他电器的延时断电及延时自停电源等。

定时可用于:

照相定时曝光、定时闪光、定时放大、延时催眠器、延时电铃、延时电子锁、触摸定时开关等。

例如:

空调中的定时器,在工作一段时间之后便能自动断开电源停止工作。

夏季夜间使用,入睡前先定好时间,等熟睡后就到了预定时间,空调自动关机,方便节能。

定时器除用于家用电器外,还广泛地用于工农业生产和服务设施,达到定时时间后会给出提示,极大地方便了用户操作。

1.3电子定时器的发展前景

传统的定时器绝大部分都是发条驱动式、电机传动式或电钟式等机械电器,部分电子器械钟也有使用时间继电器的。

相对于传统的定时器,电子定时器的体积小、重量轻、造价低、精度高、寿命长而且安全可靠、调整方便、适于频繁使用。

所以电子定时器的发展必定大有前途。

同时随着先自爱电子技术的发展,定时器也在不断的进步,朝向着更多用途、更高精度、更小体积发展着。

二.模块设计

本设计电路要求具有显示报警功能,因而所需要的模块含有时钟脉冲模块、计时模块、显示模块、报警模块、总控模块五大模块共同构成。

其中,时钟模块用于产生计数时钟,计数模块用来记录经历的时间,显示模块用来直观显示经历的时间,报警模块用来当预置时间到来时的报警提示,总控模块用来控制计时的中断与开始。

具体关系如下图所示:

2.1秒信号发生器电路

秒信号发生器采用555定时器,555定时器是一种模拟和数字功能相结合的中规模集成器件。

其成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器。

555定时器包括两个电压比较器,三个等值串联电阻,一个RS触发器,一个放电管T及功率输出级。

它提供两个基准电压VCC/3和2VCC/3。

其秒信号发生电路如下图所示:

图1

VCC通过对R1、R2向电容充电。

电容上得到电压按指数规律上升,当电容上的电压上身到2/3VCC时,输电压VO为零,电容放电。

当电压下降到1/3VCC时,输出电平为高电平,电容放电结束。

这样周而复始便形成了振荡。

我们要的周期是1秒,频率是1赫兹。

周期T可以由下面的公式可知:

T=R1.R2lnC

选择了R75=15K,R76=68K,C16=10uf得1秒的震荡时间

 

2.2计时模块

计时电路,采用74LS192该芯片是同步10进制可逆计数器,具有双时钟输入,可置数可清零。

本次设计中我们将74LS192接成十进制和六十进制,考虑到我们要倒计时。

所以我们将所有74LS192的UP端在计数时保持高电平,在秒计数的个位的74LS192:

秒信号输入接到DOWM,秒计数的十位:

将输入端的B,C端接高电平(即输入端接成0110),秒十位的置数LD端和借位端BO连在一起构成6进制,再把秒个位的BO和秒十位的DOWN连在一起。

当秒脉冲从秒个位的DOWN端输入的时候秒计数的192开始从9减到0;这时,它的借位端BO会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,秒十位的BO发出一个低电平信号,DOWN为零时,置数端LD等于零,秒十位完成并行置数,下一个DOWN脉冲来到时,计数器进入下一个循环减计数工作中。

对于分计数来说,道理也是一样的;只是要求,将分十位的输入端接成0101即(C和A接1,B,D接0),其他电路同上,因为在分计数的两块74LS192上都是00时,在下一个脉冲到来是,分个位先产生借位,然后秒个位变成9,与此同时分十位收到来自秒个位的脉冲而使BCD变为5,变成59符合要求,如果接成6就变成69,因此在将分十位的输入端接成0101(5)。

对与小时的话我们直接成两个10进制。

电路图如下:

图2

2.3报警模块

报警电路我们将用与门接成SR触发器,将小时十位的74LS192的借位端BO接R端,S端接来自启动/停止自锁开关的公共端6,构成SR的与门U7的8管脚连接到暂停启动电路中德与门U19的2端(产生停止信号),反向器4输出俩接MR(清零)和发光二极管

BO端平时是高,定时时间到时,差生负跳变,在计数状态时启动/停止自锁开关的公共端6是高电平,因此SR为10状态输出0再经反相器变为1,亮二极管产生报警。

图3

2.4显示模块

显示电路采用了4片一位的共阴极七段数码管(如图4.2所示),来分别显示分钟计时的十位和个位,小时的十位和个位完成预置和显示功能。

数码管的驱动电路采用的共阴极的七段译码器74LS48,它内部有上拉电阻,可以直接与共阴极的数码管相连接。

由于数码管只用显示0—9的数字,则LT、LBI、BI/RBO三个脚都接高电平,ABCD四个输入端连接74LS192的输出,就能显示出预置的时间和定时的剩余时间。

其连接电路图如图4.1所示:

此外根据要求秒要用一个发光二极管显示。

我们从VCC接一个10K电阻再接发光二级管,再接到秒个位的74LS192的脉冲输入端DOWM,在DOWM为低时发光二级管就亮,从而使发光二极管随输入脉冲一闪一亮,显示秒的变化。

如图4.2

图4.1

图4.2

 

2.5预设时间电路设计

预设时间电路我们采用三控(6路)不自锁开关,经过试验电容按键消抖比不上SR触发器消抖,因此采用SR触发器按键消抖,提高精度。

SR的S端接不自锁开关6端同时再接一个10K电阻到VCC,SR的R端接不自锁开关的同组非公共端4也是接10K电阻到VCC,SR的输出端,再经过一个与非门接到接74LS192的UP端,其他的也如此。

在没有按下时,SR位10出0,按下时01出1,再经与非门所以会再UP端出现1——0。

1——0,下降沿的变化,来预置时间。

下图5.1

考虑到计数器在正常工作时,禁止调时间并且保持74LS192的UP端保持为高电平,我们加入了按键自锁电路,即在SR输出端接到一个门电路的一个输入端,在暂停/启动开关的另一组三控开关的公共端2接到与门的另一个输入端上,如图1,暂停/启动开关的1接GND,2接VCC,即可,如图1在启动模式时与SR想连的门电路关闭,禁止调时间。

此外,在分的十位上,为了避免在预置时间时出现大于6以上的数,我们增加了清零电路。

如图5.2

图5.1

图5.2

2.6启动/停止电路设计

启动/停止电路,我们选择了双组三控自锁开关(6管脚)、与非门电路和与门电路。

自锁开关一组的公共端5接经过一个与门(与门的另一输入端接来自报警系统到的信号,该不报警时为1)再接与非门的一个输入端5,同组的另外两端分别接6接VCC和5接GND,555振荡器的输出端接与非门的剩下输入端4,自锁开关在没按下的时开关的5脚为0,只要与门的任意一个端输入0,

与非门的5脚为低门电路关闭,555的脉冲无法进入到计数电路,计数停止,反之为开启,此处我们实现了按键启动/停止,或定时时间到也可使之停止的功能。

电路图如下:

图6

2.7复位电路设计

复位电路,将除分十位的74LS192的MR要经或门连接外

,其余的74LS192的复位MR端连起来,再加上按键和两个电阻,和10uf的电容接成上电复位脉冲输入如下图7

复位按键的输入脉冲经过与门连接到与非门U7A的1端,时十位的借位BO接到U7A的2端。

复位按键没有被按下时,为低取反后为高,时十位的借位BO,在没有借位信号时为高,经过与非门使74LS192的清零CLR为低,不产生清零,当按键按下时或定时时间到时CLR为高,产生清零,

图7

2.8调试

本次设计分为五大模块。

在调试时,采用分模块的调试方法,重点可分两大模块调试:

方波产生模块和计时模块。

一:

调试方法方波产生电路:

本模块包括两个核心芯片,即:

555定时器芯片和运算放大器OP07,调试时先接上电源,将示波器的探头接到本级电路的输出端,观察电路的输出波形。

计时电路:

接通电源,将前一级产生的方波输入到个位计数器的CPD端口,将清零信号拉低,将置数控制端拉低置数,然后将置数控制端拉高,观察数码管是不是进行倒计时。

到零时观察LED灯是不是发出报警。

总控端:

开关J11为总控开关,在以上调试正确后,在电路运行时将J11拉低,看电路是不是停止运行,由此来判断总控开关是不是正常工作。

二:

发现的问题开始时发现总是脉冲信号,检查发现应该讲示波器的CH1通道打到交流档。

排除以上错误后发现波形的占空比没有达到50%,此时调节电位器,是输出的波形满足要求。

接通电源并输入信号后发现,数码管并不时间递减,这就意味着芯片没有进行减计数,检查发现原来芯片的电源没有接通,排除以上错误后,整个电路运行正常。

2.9设计电路图

 

图9

 

三.系统设计过程

3.1分频器模块

1:

模块说明:

输入一个频率为50MHz的CLK,利用计数器分出

1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。

2:

源程序:

LIBRARYieee;

USEieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

ENTITYfdivIS

PORT(CLK:

INSTD_LOGIC;--输入时钟信号

q1KHz:

BUFFERSTD_LOGIC;

q500Hz:

BUFFERSTD_LOGIC;

q2Hz:

BUFFERSTD_LOGIC;

q1Hz:

OUTSTD_LOGIC);

ENDfdiv;

ARCHITECTUREbhvOFfdivIS

BEGIN

P1KHZ:

PROCESS(CLK)

VARIABLEcout:

INTEGER:

=0;

BEGIN

IFCLK'EVENTANDCLK='1'THEN

cout:

=cout+1;--每来个时钟上升沿时cout开始计数

IFcout<=25000THENq1KHz<='0';--当cout<=25000时,q1KHz输出“0”

ELSIFcout<50000THENq1KHz<='1';--当25000

ELSEcout:

=0;--输出“1”,完成1KHz频率输出

ENDIF;

ENDIF;

ENDPROCESS;

P500HZ:

PROCESS(q1KHz)--q1KHz作为输入信号,分出q500Hz

VARIABLEcout:

INTEGER:

=0;

BEGIN

IFq1KHz'EVENTANDq1KHz='1'THEN

cout:

=cout+1;

IFcout=1THENq500Hz<='0';--二分频

ELSIFcout=2THENcout:

=0;q500Hz<='1';

ENDIF;

ENDIF;

ENDPROCESS;

P2HZ:

PROCESS(q500Hz)

VARIABLEcout:

INTEGER:

=0;

BEGIN

IFq500Hz'EVENTANDq500Hz='1'THEN

cout:

=cout+1;

IFcout<=125THENq2Hz<='0';

ELSIFcout<250THENq2Hz<='1';

ELSEcout:

=0;

ENDIF;

ENDIF;

ENDPROCESS;

P1HZ:

PROCESS(q2Hz)

VARIABLEcout:

INTEGER:

=0;

BEGIN

IFq2Hz'EVENTANDq2Hz='1'THEN

cout:

=cout+1;

IFcout=1THENq1Hz<='0';

ELSIFcout=2THENcout:

=0;q1Hz<='1';

ENDIF;

ENDIF;

ENDPROCESS;

ENDbhv;

3.2秒计时模块

1:

模块说明:

通过分频获得的时钟信号,便是1s,秒的低位到达9是向高位进1,高位到达6是向上进1,并清零。

2:

源程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitysecondis

port(clk,reset:

instd_logic;

sec1,sec2:

outstd_logic_vector(3downto0);

carry:

outstd_logic);

endsecond;

architecturert1ofsecondis

signalsec1_t,sec2_t:

std_logic_vector(3downto0);

begin

process(clk,reset)

begin

ifreset='1'then

sec1_t<="0000";

sec2_t<="0000";

elsifclk'eventandclk='1'then

ifsec1_t="1001"then

sec1_t<="0000";

ifsec2_t="0101"then

sec2_t<="0000";

else

sec2_t<=sec2_t+1;

endif;

else

sec1_t<=sec1_t+1;

endif;

ifsec1_t="1001"andsec2_t="0101"then

carry<='1';

else

carry<='0';

endif;

endif;

endprocess;

sec1<=sec1_t;

sec2<=sec2_t;

endrt1;

3.3分计时模块

1:

模块说明:

这里用的时钟信号的来自秒的进位,即进一位就是1min,分的低位到达9是向高位进1并清零,高位到达6时向上进1,到达5时等待进位后清零。

.

2:

源程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityminuteis

port(clk,reset:

instd_logic;

min1,min2:

outstd_logic_vector(3downto0);

carry:

outstd_logic);

endsecond;

architecturert1ofminuteis

signalmin1_t,min2_t:

std_logic_vector(3downto0);

begin

process(clk,reset)

begin

ifreset='1'then

min1_t<="0000";

min2_t<="0000";

elsifclk'eventandclk='1'then

ifmin1_t="1001"then

min1_t<="0000";

ifmin2_t="0101"then

min2_t<="0000";

else

min2_t<=min2_t+1;

endif;

else

min1_t<=min1_t+1;

endif;

ifmin1_t="1001"andmin2_t="0101"then

carry<='1';

else

carry<='0';

endif;

endif;

endprocess;

min1<=min1_t;

min2<=min2_t;

endrt1;

3.4时计时模块

1:

模块说明:

这里的时钟信号时来自上面的分的进位,上面进一位便表示1h,时的低位到达9是向高位进1并清零,高位到达2等待进位后清零,这里

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 文学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1