二进制振幅键控(ASK)调制器与解调器设计.docx

上传人:b****9 文档编号:89135 上传时间:2022-10-02 格式:DOCX 页数:20 大小:370.84KB
下载 相关 举报
二进制振幅键控(ASK)调制器与解调器设计.docx_第1页
第1页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第2页
第2页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第3页
第3页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第4页
第4页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第5页
第5页 / 共20页
点击查看更多>>
下载资源
资源描述

二进制振幅键控(ASK)调制器与解调器设计.docx

《二进制振幅键控(ASK)调制器与解调器设计.docx》由会员分享,可在线阅读,更多相关《二进制振幅键控(ASK)调制器与解调器设计.docx(20页珍藏版)》请在冰豆网上搜索。

二进制振幅键控(ASK)调制器与解调器设计.docx

二进制振幅键控(ASK)调制器与解调器设计

课程设计任务书

学生姓名:

专业班级:

通信

指导教师:

工作单位:

信息工程学院

题目:

二进制振幅键控(ASK)调制器与解调器设计

要求完成的主要任务:

(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

数字信号对载波振幅调制称为振幅键控即ASK(Amplitude-ShiftKeying)。

ASK有两种实现方法:

1.乘法器实现法

2.键控法

为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,是用基带信号控制与非门的开闭,实现ASK调制,产生信号。

ASK解调方法有两种

1.同步解调法

2.包络解调法。

时间安排:

指导教师签名:

年月日

系主任(或责任教师)签名:

年月日

目录

摘要 I

Abstract II

1.绪论 1

1.1本课题的研究现状 1

1.2选题目的意义 1

2.2ASK系统工作原理及数学模型 2

2.12ASK的调制原理及设计方法 2

3.2ASK各个模块的设计 4

3.12ASK的调制部分 4

3.22ASK解调部分 4

4.VHDL程序设计 5

4.12ASK调制部分程序设计 5

4.22ASK解调程序设计 6

5.2ASK的仿真结果及分析 7

5.1QuartusII的介绍 7

5.2QuartusII的优点 7

5.32ASK调制仿真 8

5.42ASK解调仿真 9

6.总结 11

7.参考文献 12

附录 13

16/20

摘要

从信号传输质量来看,数字系统优于模拟系统,在数字通信网中,除了考虑抗干扰能力外,还要考虑容量和频率资源利用率等重要技术指标。

在数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信号对载波的控制分为振幅调制即振幅键控(ASK)。

如今,FPGA在通信领域得到了广泛的应用,利用FPGA性能优越,使用方便的特点,可以简化振幅调制解调电路的设计,而且易于反复编写和修改程序。

本次实验运用VHDL语言进行基于FPGA的振幅键控调制电路和解调电路设计的实现方案,给出了程序设计和仿真结果。

完成了二进制基带数字信号的调制的解调,得到相应的调制信号和解调。

关键词:

FPGA二进制振幅键控ASK调制解调

Abstract

Fromthequalityofsignaltransmission,thedigitalsystemisbetterthananalogsystem,inthedigitalcommunicationnetwork,inadditiontoconsideringtheanti-interferenceability,butalsoconsidertheimportanttechnicalindexesofcapacityandfrequencyresourceutilization.Indigitaltransmissionsystem,thedigitalsignaltomodulatethehighfrequencycarrier,tothefrequencysignal,demodulationatthereceivingendtorestoretheoriginaldigitalsignal,controlthecarrieramplitudemodulationisdividedintoamplitudeshiftkeying(ASK).Now,FPGAhasbeenwidelyusedinthefieldofcommunication,theuseofFPGAsuperiorperformance,convenientuse,itcansimplifythedesignofamplitudemodulationanddemodulationcircuit,butalsoeasytorepeatedlywriteandmodifytheprogram.

TheexperimentusetheVHDLlanguagetorealizetheschemeofmodulationcircuitanddemodulationcircuitdesignofamplitudeshiftbasedonFPGA,theprogramdesignandthesimulationresultsaregiven.Thecompletionofthemodulationanddemodulationofbinarybasebanddigitalsignal,getthemodulationsignalandthecorrespondingdemodulation.

Keywords:

FPGAbinaryamplitudeshiftkeyingASKmodulationdemodulation

1.绪论

1.1本课题的研究现状

随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。

现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。

数字信号的载波调制是信道编码的一部分,之所以在信源编码和传输通道之间插入信道编码是因为通道及相应的设备对所要传输的数字信号有一定的限制,未经处理的数字信号源不能适应这些限制。

由于传输信道的频带资源总是有限的,因此在充分得利用现有资源的前提下,提高传输效率就是通信系统所追求的最重要指标之一。

模拟通信很难控制传输效率,最常见到的单边带调幅(SSB)或残留边带调幅(VSB)可以节省近一半的传输频带。

由于数字信号只有“0”和“1”两种状态,所以数字调制完全可以理解为像报务员用开关键控制载波的过程,因此数字信号的调制方式一般均为较简单的键控方式。

现代通信系统的发展随着VerilogHDL等设计语言的出现和ASIC的应用进入了一个新的阶段。

由于大多数信号都是带通型的,所以必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。

因而,调制技术是实现现代通信的重要手段。

本文在研究ASK系统的基础上,基于FPGA设计了它的调制解调仿真实现方案。

首先确定了ASK系统的仿真方案。

其次编写了方案所需的程序,调制及解调实现功能。

结果表明用FPGA控制ASK系统的实现方法简单,误码率低。

提高了数字通信系统的效率,降低了成本。

1.2选题目的意义

这个课题是基于FGPA设计并制作一个2ASK调制解调器,实现数字信号对载波的调制和解调。

通过这个课题理解掌握课题涉及的相关内容,熟练使用相关开发工具软件QuartusII,熟悉数字信号载波调制解调的基本方式。

现代通信系统是一个十分复杂的工程系统,通信系统设计研究也是一项十分复杂的技术。

由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来进行系统。

2.2ASK系统工作原理及数学模型

2.12ASK的调制原理及设计方法

数字幅度调制又称幅度键控(ASK),二进制幅度键控记作2ASK。

2ASK是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。

有载波输出时表示发送“1”,无载波输出时表示发送“0”。

通过“0”和“1”的组合,来发送数据。

2ASK信号的产生方法通常有两种,模拟调制法(相乘器法)和键控法,如下图(a)为一般的模拟幅度调制方法,用乘法器将基带信号和载波信号相乘来实现的。

图(b)是一种数字键控法,键控法是产生2ASK信号的一种方法。

在2ASK中,载波的幅度只有两种变化状态,分别对应二进制信息“0”或“1”。

一种常用的、也是最简单的二进制振幅键控方式称为通-断键控(OnOffKeying)。

所以2ASK又称为通断控制(OOK)。

最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。

其中的开关电路受s(t)控制。

图(c)是基带信号和调制信号波形。

图12ASK信号产生的方法及波形

2.22ASK解调原理及设计方法

2ASK信号解调的常用方法主要有两种:

包络检波法和相干检测法。

包络检波法的原理方框图如图2所示:

调制后的2ASK信号先通过一个带通滤波器(BPF),滤除大部分的噪声,并使2ASK信号可完整地通过;经全波整流器横轴下的波形翻转到横轴以上;再经过一个低通滤波器(LPF),低通滤波器的作用是滤除高频杂波,使基带信号(包络)通过;通过抽样判决器,这样就可以还原出基带信号。

抽样判决器

LPF

BPF

半波或全波整流

2ASK信号

定时脉冲S(t)

图22ASK信号的包络解调

相干检测法原理方框图如图3所示:

相干检测就是同步解调,首先是2ASK信号经过一个带通滤波器,滤除里面的噪声;经相乘器调制信号和coswct相乘会产生两个频率信号,即一个高频信号和一个频率与基带信号频率一样的信号,我们要得到基带信号,即是要它的低频成分;这样通过低通滤波器滤除高频成分即可得到低频成分也就是基带信号。

图32ASK的相干解调

3.2ASK各个模块的设计

3.12ASK的调制模块

2ASK调制的建模方框图如图4所示。

图中为数字部分,输出信号为数字信号。

其建模思想为:

(1)采用数字载波信号数字载波信号产生的方法可以从外部输入,也可以通过高频时钟信号分频得到。

(2)采用键控法:

调制在图4中,数字基带信号作为键控信号控制与门来完成ASK调制。

载波F

与门

已调信号

分频器

时钟信号

基波信号

开始信号

图42ASK的调制方框图

3.22ASK解调模块

解调方框图如图5所示。

解调器包括分频器,计数器,寄存器和判决器等。

分频器的功能是对时钟信号进行分频得到与发送端数字载波相同的数字载波信号,寄存器的功能是在时钟的上升沿到来时把数字ASK信号存入寄存器,计数器的功能是利用分频器输出的载波信号作为计数器的时钟信号,在其上升沿到来时,对寄存器中的ASK载波个数进行计数,当计数值m小于3时,输出为:

“0”,否则则输出为:

“1”,判决器的功能是:

以数字载波作为判决时钟,对计数器输出信号进行抽样判决,并输出解调后的基带信号。

ASK信号

寄存器

开始信号

基带信号

判决

计数器

分频器

时钟信号

图52ASK的解调信号

4.VHDL程序设计

4.12ASK调制部分程序设计

首先将频率为fc的时钟信号CLK分频产生频率为fc/4的载波信号,以四进制计数器q循环计数,图4.2所示为键控电路的VHDL程序设计流程图。

基带

信号

f=0

f=1

q=3

q=2

q=1

q=0

开始

循环

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1