ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:370.84KB ,
资源ID:89135      下载积分:15 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/89135.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(二进制振幅键控(ASK)调制器与解调器设计.docx)为本站会员(b****9)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

二进制振幅键控(ASK)调制器与解调器设计.docx

1、二进制振幅键控(ASK)调制器与解调器设计课程设计任务书学生姓名: 专业班级: 通信 指导教师: 工作单位: 信息工程学院 题目: 二进制振幅键控(ASK)调制器与解调器设计要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)数字信号对载波振幅调制称为振幅键控即 ASK(AmplitudeShift Keying)。ASK有两种实现方法: 1.乘法器实现法 2.键控法 为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,是用基带信号控制与非门的开闭,实现ASK调制,产生信号。ASK解调方法有两种1.

2、 同步解调法2. 包络解调法。时间安排:指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日目录摘要IAbstractII1. 绪论11.1 本课题的研究现状11.2 选题目的意义122ASK系统工作原理及数学模型22.1 2ASK的调制原理及设计方法23.2ASK各个模块的设计43.1 2ASK的调制部分43.2 2ASK解调部分44.VHDL程序设计54.1 2ASK调制部分程序设计54.2 2ASK解调程序设计65. 2ASK的仿真结果及分析75.1Quartus II的介绍75.2Quartus II的优点75.3 2ASK调制仿真85.4 2ASK解调仿真96.总结117

3、.参考文献12附录1316 / 20摘要从信号传输质量来看,数字系统优于模拟系统,在数字通信网中,除了考虑抗干扰能力外,还要考虑容量和频率资源利用率等重要技术指标。在数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信号对载波的控制分为振幅调制即振幅键控(ASK)。如今,FPGA在通信领域得到了广泛的应用,利用FPGA性能优越,使用方便的特点,可以简化振幅调制解调电路的设计,而且易于反复编写和修改程序。本次实验运用VHDL语言进行基于FPGA的振幅键控调制电路和解调电路设计的实现方案,给出了程序设计和仿真结果。完成了二进制基带数字信号的调制的解调,得到相应的

4、调制信号和解调。关键词:FPGA 二进制振幅键控 ASK 调制 解调AbstractFrom the quality of signal transmission, the digital system is better than analog system, in the digital communication network, in addition to considering the anti-interference ability, but also consider the important technical indexes of capacity and freque

5、ncy resource utilization. In digital transmission system, the digital signal to modulate the high frequency carrier, to the frequency signal, demodulation at the receiving end to restore the original digital signal, control the carrier amplitude modulation is divided into amplitude shift keying (ASK

6、). Now, FPGA has been widely used in the field of communication, the use of FPGA superior performance, convenient use, it can simplify the design of amplitude modulation and demodulation circuit, but also easy to repeatedly write and modify the program. The experiment use the VHDL language to realiz

7、e the scheme of modulation circuit and demodulation circuit design of amplitude shift based on FPGA, the program design and the simulation results are given. The completion of the modulation and demodulation of binary baseband digital signal, get the modulation signal and the corresponding demodulat

8、ion. Keywords: FPGA binary amplitude shift keying ASK modulation demodulation 1. 绪论1.1 本课题的研究现状 随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。数字信号的载波调制是信道编码的一部分,之所以在信源编码和传输通道之间插入信道编码是因为通道及相应的设备对所要传输的数字信号有一定的限制,未经处理的数字信号源不能适应这些限制。由于传输信道的频带资源总是有限的,因此在充分得利

9、用现有资源的前提下,提高传输效率就是通信系统所追求的最重要指标之一。模拟通信很难控制传输效率,最常见到的单边带调幅(SSB)或残留边带调幅(VSB)可以节省近一半的传输频带。由于数字信号只有“0”和“1”两种状态,所以数字调制完全可以理解为像报务员用开关键控制载波的过程,因此数字信号的调制方式一般均为较简单的键控方式。现代通信系统的发展随着 Verilog HDL等设计语言的出现和 ASIC 的应用进入了一个新的阶段。由于大多数信号都是带通型的,所以必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。因而,调制技术是实现现代通信的重要手段。本文在研究ASK 系统的基础上,基于 FP

10、GA 设计了它的调制解调仿真实现方案。首先确定了ASK 系统的仿真方案。其次编写了方案所需的程序,调制及解调实现功能。结果表明用 FPGA 控制ASK 系统的实现方法简单,误码率低。提高了数字通信系统的效率,降低了成本。1.2 选题目的意义 这个课题是基于FGPA 设计并制作一个2ASK调制解调器,实现数字信号对载波的调制 和解调。通过这个课题理解掌握课题涉及的相关内容,熟练使用相关开发工具软件Quartus II,熟悉数字信号载波调制解调的基本方式。现代通信系统是一个十分复杂的工程系统,通信系统设计研究也是一项十分复杂的技术。由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来

11、进行系统。22ASK系统工作原理及数学模型2.1 2ASK的调制原理及设计方法数字幅度调制又称幅度键控(ASK),二进制幅度键控记作2ASK。2ASK是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。有载波输出时表示发送“1”,无载波输出时表示发送“0”。通过“0”和“1”的组合,来发送数据。 2ASK信号的产生方法通常有两种,模拟调制法(相乘器法)和键控法,如下图 (a)为一般的模拟幅度调制方法,用乘法器将基带信号和载波信号相乘来实现的。图(b)是一种数字键控法,键控法是产生2ASK信号的一种方法。在2ASK中,载波的幅度只有两种变化状态,分别对应二进

12、制信息“0”或“1”。一种常用的、也是最简单的二进制振幅键控方式称为通-断键控(On Off Keying)。所以2ASK又称为通断控制(OOK)。最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。其中的开关电路受s(t)控制。图(c)是基带信号和调制信号波形。图1 2ASK信号产生的方法及波形2.2 2ASK解调原理及设计方法 2ASK信号解调的常用方法主要有两种:包络检波法和相干检测法。包络检波法的原理方框图如图2所示:调制后的2ASK信号先通过一个带通滤波器(BPF),滤除大部分的噪声,并使2ASK信号可完整地通过;经全波整流器横轴下的波形翻转到横轴以上;再经过一个低通滤波器(L

13、PF),低通滤波器的作用是滤除高频杂波,使基带信号(包络)通过;通过抽样判决器,这样就可以还原出基带信号。抽样判决器LPFBPF半波或全波整流2ASK信号定时脉冲S(t)图2 2ASK信号的包络解调相干检测法原理方框图如图3所示:相干检测就是同步解调,首先是2ASK信号经过一个带通滤波器,滤除里面的噪声;经相乘器调制信号和 coswct 相乘会产生两个频率信号,即一个高频信号和一个频率与基带信号频率一样的信号,我们要得到基带信号,即是要它的低频成分;这样通过低通滤波器滤除高频成分即可得到低频成分也就是基带信号。图3 2ASK的相干解调3. 2ASK各个模块的设计3.1 2ASK的调制模块2AS

14、K调制的建模方框图如图4所示。图中为数字部分,输出信号为数字信号。其建模思想为: (1)采用数字载波信号数字载波信号产生的方法可以从外部输入,也可以通过高频时钟信号分频得到。 (2)采用键控法:调制在图4中,数字基带信号作为键控信号控制与门来完成ASK 调制。 载波F与门已调信号分频器时钟信号基波信号开始信号图4 2ASK的调制方框图3.2 2ASK解调模块 解调方框图如图5所示。解调器包括分频器,计数器,寄存器和判决器等。分频器的功能是对时钟信号进行分频得到与发送端数字载波相同的数字载波信号,寄存器的功能是在时钟的上升沿到来时把数字ASK信号存入寄存器,计数器的功能是利用分频器输出的载波信号作为计数器的时钟信号,在其上升沿到来时,对寄存器中的ASK载波个数进行计数,当计数值m小于3时,输出为:“0”,否则则输出为:“1”,判决器的功能是:以数字载波作为判决时钟,对计数器输出信号进行抽样判决,并输出解调后的基带信号。ASK信号寄存器开始信号基带信号判决计数器分频器时钟信号图5 2ASK的解调信号4.VHDL程序设计4.1 2ASK调制部分程序设计首先将频率为fc的时钟信号CLK分频产生频率为fc/4的载波信号,以四进制计数器q循环计数,图4.2 所示为键控电路的VHDL 程序设计流程图。基带信号f=0f=1q=3q=2q=1q=0开始与循环结

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1