电工电子综合实验II.docx

上传人:b****5 文档编号:8204060 上传时间:2023-01-29 格式:DOCX 页数:15 大小:302.56KB
下载 相关 举报
电工电子综合实验II.docx_第1页
第1页 / 共15页
电工电子综合实验II.docx_第2页
第2页 / 共15页
电工电子综合实验II.docx_第3页
第3页 / 共15页
电工电子综合实验II.docx_第4页
第4页 / 共15页
电工电子综合实验II.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

电工电子综合实验II.docx

《电工电子综合实验II.docx》由会员分享,可在线阅读,更多相关《电工电子综合实验II.docx(15页珍藏版)》请在冰豆网上搜索。

电工电子综合实验II.docx

电工电子综合实验电工电子综合实验II目录一、实验内容及要求2二、单元电路设计原理逻辑图4三、电子计时器原理逻辑总图与电路引脚接线图12四、实验总结13五、创新设计14六、附录(部分器件功能表、参考书目)15一、实验内容及要求一、实验内容及要求1、实验目的

(1)掌握常见集成电路工作原理和使用方法。

(2)学会单元电路设计与组合方法,实现较复杂功能。

2、设计内容

(1)设计、安装、调试秒脉冲发生器电路(、四种频率输出)。

(2)设计、安装、调试四位BCD码译码显示电路。

(3)设计、安装、调试一小时六十进制计时器(05959)整点技术。

(4)设计、安装、调试任意状态清零和快速较分电路(2Hz、防抖动、校分时停秒)。

(5)设计、安装、调试整点报时电路,5953、5955、5957低声(频率为500KHz)报时,5959高声(频率为1KHz)报时。

(6)联接实验15设计电路实验实现一小时电子计时器电路。

3、设计要求设计正确,布局合理,排线整齐,功能齐全。

4、电子计时器原理及电路框图电路框图原理:

计时器一般由振荡器、分频器、计数器、译码器、显示器、校时电路、和报时电路组成。

振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。

秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间。

校分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响。

报时电路通过500Hz或1kHz的信号和要报时的时间信号进行“与”的运算来实现的定点报时的。

5、元器件表元件型号数量NE5551片CD40401片CD45182片CD45114片74LS003片74LS201片74LS212片74LS741片电容0.047uf1只电阻33028只电阻1k1只电阻3k1只双字屏共阴显示器2块数字逻辑实验仪1台表一二、单元电路设计原理逻辑图二、单元电路设计原理逻辑图1、脉冲发生器电路:

图1:

周期矩形波发生电路

(2)CD4040集成电路CD4040是一种常用的12分频集成电路。

当在输入端输入某一频率的方波信号时,其12个输出端的输出信号分别为该输入信号频率的2-12-12,在电路中利用其与NE555组合构成脉冲发生电路。

其引脚图如下图2:

图2:

NE555与CD4040引脚图其中VDD为电源输入端,VSS为接地端,CP端为输入端,CR为清零端,Q1Q12为输出端,其输出信号频率分别为输入信号频率的2-12-12。

将图1所示电路的输出端接至CD4040的输入端,则可以在Q12输出端得到频率大致为1Hz的方波信号。

可以利用其为电子钟的计时信号。

另外,在Q11、Q3、Q2三个输出端得到频率大致为2Hz、500Hz和1kHz的信号,这三个信号在后面的电路中要用到。

于是脉冲发生电路部分如下图所示:

图3:

脉冲发生电路2、计时和译码显示电路

(1)CD4518集成电路CD4518时一种常用的8421BCD码加法计数器。

每一片CD4518集成电路中集成了两个相互独立的计数器,引脚图如图4所示。

图4:

CD4518引脚图CD4518逻辑功能如表二所示。

(2)CD4511集成电路CD4511是一种8421BCD码向8段数码管各引脚码的转换器。

当在其四个输入端输入8421BCD码时,其7个输出端可直接输出供7段数码管使用的信号。

其引脚图如图5所示:

图5:

CD4511引脚图根据CD4511的逻辑功能表可知,、输入为1而输入为0时其7个输出端分别输出一定的信号。

只需将这些信号接入8段数码管相对应的引脚即可使其显示我们所需要的数字。

CD4511左侧四个输入端分别连接CD4518的4个输出端。

这样8段数码管就可以正常显示计数器所记载的数字编码了。

由于电路的显示部分不会出现小数,故8端数码管的小数点引脚悬空,故计时和译码显示部分电路如下图6:

图6

(1):

计时和译码部分电路引脚接线图(秒)图6

(2):

计时和译码部分电路逻辑图(分)图6(3):

计时和译码部分电路逻辑图(秒)3、清零电路该部分电路采用74LS00两输入端四与非门进行设计,74LS00是一种十分常见的集成电路,其中集成了4个与非门。

其引脚图如下:

图7:

74LS00引脚图清零部分电路如下图8所示:

图8

(1):

清零电路引脚接线图图8

(2):

清零电路逻辑图4、校分电路

(1)74LS74集成电路74LS74集成电路是一种D触发器。

其引脚图如图9所示:

图9:

74LS74引脚图由图可见,每片74LS74中集成了两个D触发器。

由于电路中只需要用到一个D触发器,故假设用到74LS74中的1号触发器。

由其功能表可知,当CP端接入时钟,和端接入高电平,D端接入输入信号时,在每个时钟的下降沿时刻输出Q都输出与输入D相同的电平,输出相反的电平。

校分部分电路设计如下:

图10

(1):

校分电路引脚接线图图10

(2):

校分电路逻辑图其中输出端直接与分计时器的个位时钟端相连接。

正常计时状态下,开关连接高电平,此时Q端输出高电平,总输出端的信号与秒的十位进位信号相同。

当开关连接低电平时,Q端输出低电平,总输出端输出信号为2Hz的时钟信号。

5、报时电路本次实验中报时电路的设计要求是在5953、5955、5957发低音,输入500Hz信号;在5959发高音,输入1KHz信号。

用二进制数分别表示报时情况如下表:

时刻分十位分个位秒十位秒个位音高频率m8m7m6m5m4m3m2m1s8s7s6s5s4s3s2s159分53秒0101100101010011低500Hz59分55秒0101100101010101低500Hz59分57秒0101100101010111低500Hz59分59秒0101100101011001高1000HzF,其中F为最后要传到扬声器中的信号,f3为500HZ信号,f4为1KZ的信号。

报时信号逻辑图如下图:

图11:

报时电路逻辑图该逻辑关系运用74LS00、74LS20、74LS21集成电路连接实现,以下为这三个集成电路的引脚图:

74LS20引脚图74LS21引脚图图1274LS20,74LS21引脚图从以上三个引脚图中我们可以很清楚的看出它们的内部结构以及其逻辑功能。

在此计时器电路中,这三种集成电路按逻辑图关系连接,可以实现报时功能。

三、三、电子计时器原理逻辑总图与电路引脚接线图电子计时器原理逻辑总图与电路引脚接线图在各个单元电路的基础上,按照电子计时器原理框图的单元关系与信号传输关系,将各个单元电路整合为整体的电路。

调整元件的布局,使得电路结构简单,便于实际连线。

原理逻辑总图如下:

总引脚接线图如下:

四、实验总结四、实验总结通过此次实验,我将理论应用于实践的能力得到增强,动手能力大大提高,曾经不敢置信自己能完成这么复杂的线路,感觉自己完成的电路就是一部“作品”,使我产生了空前成就感与对所学课程更深的兴趣、喜爱。

十分感谢老师、学校给我们提供这样的机会。

我学到了实验与科学的精神严谨,它体现在如下方面:

1、不能因为只是个简单的实验就认为分与秒的显示器谁在前谁在后无所谓,一定要严谨。

尽量使自己的设计误差小,4518用EN时钟会减少一个门的延迟,CMOS输入端不许悬空。

2、实际连线的时候,由于芯片较多,连接线路较为复杂,布局就尤为重要,事先根据设计好的电路将芯片放置在合理的位置,充分利用电路板的版面,从而有效快速的布线。

3、小心布线,一点出了问题将会影响全局。

所以实验线路连接有层次,有条理。

电路分块联接,电源,地线首先搭好,各块电路用不同颜色连线加以区别,方便线路检查。

连线长短要合适,避免交叉。

布线一旦发生错误,庞大的电路将使查错变得非常困难。

4、在译码显示部分,由于4511为COMS电路电流较大,所以要串接电阻降压以防烧毁器件;在计数器使用中采用EN端进位以减少时间延迟5、增强安全意识,电路出现问题迅速断电,避免造成元器件损坏。

五、创新设计五、创新设计在对电路的创新中,我想到了动态显示功能。

设计的思想是利用数据选择器的选择置数功能,选择秒个位或秒十位或分位的输出。

与此同时,利用数据选择器的地址来控制七段显示译码器的阴极,使得在某一时刻由同一个译码器送出的信号只能在一个显示译码器上显示出来。

再由数据选择器的地址信号的快速循环变化(即由00.01.10构成的循环)。

循环计数的实现可以通过十进制BCD码计数器4518来做。

即利用4518的正常计数功能,当输出为0011时对其进行清零就行了。

在4518的脉冲输入端的变化的频率大于42Hz时人眼就分辨不出来了,就此完成了动态显示功能。

动态显示一共只用了一个译码器,在一个时刻只有一个显示管有用。

另外,根据电子计时器的计时功能,可以设计一个任意时间报时的钟。

通过报时时间设置的灵活性,就制作成了一个闹铃。

还可以将蜂鸣器换成其他鸣音设备,设计成学校、工厂等适合不同场合使用的报时设备,大大扩大其用途。

六、附录:

六、附录:

部分器件功能表输入输出CRCPENQ3Q2Q1Q0清零10000计数01BCD码加法计数保持00保持计数00BCD码加法计数保持01保持表二:

CD4518功能表输入输出LEgf字符测灯011灭零00消隐锁存显示LE=01时数据译码011000001000010010011000011010表三:

CD4511逻辑功能表输入输出CPD清零0101置“1”1010送“0”1101送“1”1110保持011保持不允许00不确定表四:

74LS74功能表参考文献:

1蒋立平,数字电路,南京:

南京理工大学出版社,2001;2赵旦峰,数字电路实验与课程设计,哈尔滨工程大学出版社,2001;3徐建仁,主编,数字集成电路应用与实验,长沙:

国防科技大学出版社,1999;

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 表格模板 > 合同协议

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1