地铁自动售票机 基于FPGA 设计.docx

上传人:b****6 文档编号:7561430 上传时间:2023-01-25 格式:DOCX 页数:12 大小:153.66KB
下载 相关 举报
地铁自动售票机 基于FPGA 设计.docx_第1页
第1页 / 共12页
地铁自动售票机 基于FPGA 设计.docx_第2页
第2页 / 共12页
地铁自动售票机 基于FPGA 设计.docx_第3页
第3页 / 共12页
地铁自动售票机 基于FPGA 设计.docx_第4页
第4页 / 共12页
地铁自动售票机 基于FPGA 设计.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

地铁自动售票机 基于FPGA 设计.docx

《地铁自动售票机 基于FPGA 设计.docx》由会员分享,可在线阅读,更多相关《地铁自动售票机 基于FPGA 设计.docx(12页珍藏版)》请在冰豆网上搜索。

地铁自动售票机 基于FPGA 设计.docx

地铁自动售票机基于FPGA设计

地铁自动售票机(基于FPGA)

西安电子科技大学

08级集成电路设计与集成系统专业

井站

尹俊镖

潘志友

李波

一功能需求

1市场目标

本工程设计是基于FPGA设计一个地铁自动售票系统。

近年来,集成电路技术的迅猛发展,特别是可编程逻辑器件的高速发展,电子设计自动化EDA技术成为电子设计工程师的新宠。

然而随着城市人口的迅速增加、车辆的增多,世界各地的城市交通变得愈发拥挤,地铁成为城市交通的重要组成部分。

随着国民经济的发展,我国的地铁建设也日益成为人们日常生活中不可或缺的一部分。

作为地铁运营服务的第一道关,改善地铁已逐渐落后于时代步伐的售票系统势在必行。

本系统是结合行业管理中实际的地铁售票系统运行过程中的需求过程,经过实际的需求分析,开发出来的地铁自动售票系统。

整个系统的设计从符合操作简便快捷、灵活实用、保证稳定安全的要求出发,完成售票管理的基本过程。

2功能定义

通过本设计,正确掌握数字系统的模块划分、并能自如应用硬件描述语言描述各模块功能,以实现系统设计。

以Verilog语言和QuartueII,Modlesim为工具,实现了地铁自动售票系统。

系统划分为几个功能模块,分模块进行分析和设计,系统给出相应的设计原理图和Verilog源程序,通过仿真实现预定的功能。

本组成员参与设计的自动售票机,就其功能特点来说,可以归纳如下:

1)该自动售票系统可对单价为3元、4元、5元,6元四种票售票。

2)能选择买1张或2张票;

3)有1元,5元、10元,20元四个投币口。

当投入的钱币与选择的票款相等时,送出车票;若投入的钱币不够,1分钟后,退出钱币,无票送出;若投入的钱币超过票款,能自动找赎。

4)系统显示选择所选票单价面额、购买的票数、投入的钱币额及找赎的钱额。

3应用案例

在广州地铁、北京地铁、南京地铁等全国十多条城市轨道交通线路都能见到广电运通自动售票机的身影。

作为目前中国最具有成长力的AFC(自动售检票系统)设备提供商,广电运通在轨道交通领域已取得了众多成功案例。

广电运通自动售票机支持硬币和成叠纸币找零、纸质磁票打印、成叠出票及钱票遗忘回收等多项功能,界面简洁、标识清晰,操作便利,极大缩短了旅客的购票时间,受到旅客们的欢迎。

二开发计划

1项目分工

井站:

负责选票模块,投币模块,找零模块,顶层模块代码的编写和测试仿真

尹俊镖:

负责计数器序列模块,6选1选择器模块,数码管译码器模块,数码管位选模块等显示功能的代码编写和测试仿真和后期实验报告文档编写。

潘志友,李波:

负责市场调研分析,查找参考资料等众多任务。

2进度规划

第一天:

选定设计题目,分析项目可行性,完成市场调研分析,查找相关参考资料;进行工程的整体规划。

第二天和第三天:

用Modlesim软件完成各个子模块的代码编写和测试仿真;

第四天:

学习QuartueII软件,完成综合文件,最后书写实验报告,工程竣工。

3技术重点及其预期难点分析

重点在于整体规划是否合理可行,代码是否成功编写,预期难点是不熟悉QuartueII软件的开发环境。

4可行性分析

整体设计思路是:

通过选择票的单价和数量,将总额和投币总额比较,当投入的钱币与选择的票款相等时,送出车票;若投入的钱币不够,1分钟后,退出钱币,无票送出;若投入的钱币超过票款,能自动找赎。

最后显示信息。

通过成员的反复论证,确认该设计思路可行。

三设计方案及其验证测试

.1系统的总体框架结构

地铁自动售票系统大致可分为四个功能模块:

车票选择模块、钱币处理模块、余额计算模块、显示功能模块。

[28]

系统总体框图如图所示:

系统总体框图

1)车票选择模块。

当自动售票机通电时,表示一次投币售票过程开始;乘客正常购买车票操作失误包括改变购买意向或不再购买,按rd按钮重新进入初始状态;在初始状态pri和qua生效时,顾客可以根据自己的需要,选择其中一种车票(只限一种)和票数,进入下一模块。

2)钱币处理模块。

表示投入的钱币是1元,5元、10元,20元的coin_1,coin_5、coin_10,coin_20生效,记录所投钱币总额。

3)余额计算模块。

当投人钱币总额大于或等于车票价格,并且按确认键后出票并找零;若投入钱币总额小于车票价格,售票机不出票,并退出所投钱币,进入初始状态。

4)显示功能模块。

此模块根据输入提供的数据,用数码管来显示选择的是所选票面额、购买的票数、投入的钱币额及找赎的钱额。

[29]

2功能模块分析

顶层模块代码如下:

moduletop(pri_3,pri_4,pri_5,pri_6,qua_1,qua_2,coin_1,

coin_5,coin_10,coin_20,

rd,finish,clk1,clk2,outw,outz,act_1,act);

Inputpri_3,pri_4,pri_5,pri_6,qua_1,

qua_2,coin_1,coin_5,coin_10,

coin_20,rd,clk1,clk2,finish;

outputact_1;

output[3:

0]act;

output[5:

0]outw;

output[6:

0]outz;

wireact_1;

wire[3:

0]act;

wire[5:

0]outw;

wire[6:

0]outz;

wire[3:

0]w1,w2,w3,w5,w6,w7,w8,w10;

wire[2:

0]w9;

wire[4:

0]w4;

Xuanpiaox1(.pri_3(pri_3),.pri_4(pri_4),

.pri_5(pri_5),.pri_6(pri_6),

.qua_1(qua_1),.qua_2(qua_2),.clk(clk1),

.rd(rd),.pri(w2),.qua(w3),.cost(w1));

Toubit1(.coin_1(coin_1),.coin_5(coin_5),

.coin_10(coin_10),.coin_20(coin_20),

.clk(clk1),.rd(rd),.coinh(w5),

.coinl(w6),.coin(w4));

zhaolingz1(.pri_in(w2),.cost_in(w1),.coin_in(w4),

.finish(finish),.clk(clk1),.rd(rd),.act(act),

.act_1(act_1),.rest(),.restl(w8),.resth(w7));

counter6c1(.clk2(clk2),.out(w9));

select6_1s1(.out(w10),.in0(w2),.in1(w3),.in2(w5),

.in3(w6),.in4(w7),.in5(w8),.sel(w9));

weixuanw(.din(w9),.out(outw));

zixingz(.din(w10),.out(outz));

endmodule

顶层综合文件如下:

各个子模块分析

车票选择模块

车票选择模块是指对商品进行选择与购买。

车票分为票价3元,4元,5元,6元,共4种车票,乘客可以选择所要购买的票数(1张票,2张票)。

车票选择模块如图4所示:

1)输入

①rd:

模块复位信号,将所有输出信号清零。

作为开始一次购票过程的按键,也可以作为让乘客重新选票的按键。

②clk:

模块的时钟信号。

④pri_3,pri_4,pri_5,pri_6:

将其中一个置高电平,作为选择一种票价(3元,4元,5元,6元)。

⑤qua_1,qua_2:

将其中一个置高电平,作为选择购买车票的数量(一张票,两张票)。

2)输出

②pri[3:

0]:

表示所选票价,输出到余额计算模块和显示功能模块,作为判断出何种票的条件,并在数码管显示出车票单价。

③qua[3:

0]:

表示所买票数,输出到显示功能模块,在数码管显示出票数。

④cost[3:

0]:

表示应付总额,根据票价和票数来计算出应付的总额,输出到余额计算模块,用来计算找赎金额。

该车票选择模块的源程序如下:

modulexuanpiao(pri_3,pri_4,pri_5,pri_6,qua_1,qua_2,clk,rd,

pri,qua,cost);

inputpri_3,pri_4,pri_5,pri_6,qua_1,qua_2,rd,clk;

output[3:

0]pri;

output[3:

0]qua;

output[3:

0]cost;

reg[3:

0]pri;

reg[3:

0]qua;

reg[3:

0]cost;

initial

begin

pri=0;

qua=0;

cost=0;

end

always@(rd)

统不足

此系统设计,余额计算模块中要求,在所投钱币总额不足应付票价总额时,一分钟后退出所投钱币。

而此设计中未能达到一分钟后退出所投钱币,而是在按下确定键finish后直接退出钱币。

5系统改进方向及设计总结

1系统改进方向

可以对此地铁自动售票系统进行改进和扩展,在此提出以下几点改进方向:

1)完善钱币不足一分钟后自动退出钱币的功能。

2)根据乘客提供的起点站和终点站,自动判定票价。

3)增加投币的币种,比如50元、100元等。

4)投币过程增加对钱币验证真伪的模块。

5)此系统的选择票价、选择票数、投币过程存在顺序问题,以后可以将系统改进,让这些过程可以任意颠倒。

2设计总结

本文主要论述了采用自顶向下的模块化设计方法,基于FPGA使用Verilog语言设计制作一个地铁自动售票控制系统。

在此设计过程中,也遇到诸多困难和技术问题,如编写硬件描述语言不能像软件一样,其中存在着时序逻辑。

通过本次工程设计,了解到EDA技术、FPGA、Verilog语言等基础知识,及Modlesim和QuartueII软件的使用,能够正确掌握数字系统的模块划分、并能自如应用硬件描述语言描述各模块功能,以实现系统设计。

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 表格模板 > 合同协议

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1