电子系统实验报告.docx

上传人:b****6 文档编号:7337692 上传时间:2023-01-23 格式:DOCX 页数:19 大小:438.06KB
下载 相关 举报
电子系统实验报告.docx_第1页
第1页 / 共19页
电子系统实验报告.docx_第2页
第2页 / 共19页
电子系统实验报告.docx_第3页
第3页 / 共19页
电子系统实验报告.docx_第4页
第4页 / 共19页
电子系统实验报告.docx_第5页
第5页 / 共19页
点击查看更多>>
下载资源
资源描述

电子系统实验报告.docx

《电子系统实验报告.docx》由会员分享,可在线阅读,更多相关《电子系统实验报告.docx(19页珍藏版)》请在冰豆网上搜索。

电子系统实验报告.docx

电子系统实验报告

 

电子系统设计专题实验报告

 

实验一基于AVRATmega128MCU的硬件PCB设计

一、实验目的和要求

目的:

1.掌握proteldxp软件的使用

2.掌握基于AVRATmega128MCU的硬件PCB设计

要求:

1.能够熟练使用proteldxp软件;

2.根据AVRATmega的原理图进行PCB设计

二、实验设计题目及实现的功能

实验设计题目:

基于AVRATmega128MCU的硬件PCB设计

实现功能:

设计出AVRATmega128MCU的硬件PCB,其中板子上应有的功能为最小集RSTOSCPowerISPLED温度

三、实验设计电路工作原理

3.1对proteldxp软件操作的一般步骤

4.1.1创建一个新的原理图图纸

1、在Files面板的New单元,选择File»New并点击SchematicSheet。

2、File»SaveAs将新原理图文件重命名。

指定原理图目录,在文件名栏键入Multivibrator.SchDoc,并点击Save。

3、当空白原理图纸打开后,注意工作区发生了变化:

主工具栏增加了一组新的按钮,新的工具栏出现,并且菜单栏增加了新的菜单项。

现在你就在原理图编辑器中了。

3.1.2在开始绘制电路图之前,设置正确的文件夹选项。

1、选择菜单Design»Options(文档选项),文档选项对话框打开。

本教程中,我们唯一需要修改的是将图纸大小(sheetsize)设置为标准A4格式。

在SheetOptions(图纸选项)标签,找到StandardStyles(标准风格)栏。

点击输入框选择A4样式。

2、点击OK按钮关闭对话框,更新图纸大小。

3、为将文件再全部显示在可视区,选择View»FitDocument。

【这个步骤对本练习没有什么特别意义】ProtelDXP中,你可以通过菜单热键(在菜单名中带下划线的字母)来激活任何菜单。

例如,对于选择View»FitDocument菜单项的热键就是在按了V键后按D键。

许多子菜单,诸如Edit»DeSelect菜单,是可以直接调用的。

要激活Edit»DeSelect»All菜单项,你只需要按X键(以调用DeSelect菜单)及A键。

3.1.3一般的原理图参数设置:

1、从菜单选择Tools»Preferences(热键T,P)打开原理图参数对话框。

这个对话框允许你设置全部参数,这些将应用到你将继续工作的所有原理图图纸。

2、点击DefaultPrimitives标签以使其为当前,勾选Permanent。

点击OK按钮关闭对话框。

3、在你开始绘制原理图之前,保存这个原理图图纸,因此选择File»Save(热键F,S)。

4.1.4创建一个新的PCB

1、在Files面板的底部的NewfromTemplate单元点击PCBBoardWizard创建新的PCB。

如果这个选项没有显示在屏幕上,点向上的箭头图标关闭上面的一些单元。

2、PCBBoardWizard打开。

你首先看见的是介绍页。

点Next按钮继续。

3、设置度量单位为英制(Imperial),注意,1000mils=1inch。

4、向导第三页允许你选择板轮廓。

本教程中我们使用我们自定义的板子尺寸。

从板轮廓列表中选择Custom,点击Next。

5、下一页进入自定义板选项。

本教程电路使用一个2x2inch的板子。

选择Rectangular并在Width和Height栏键入2000。

取消选择TitleBlock&Scale(标题栏和刻度)、LegendString(图标字符串)和DimensionLines(尺寸线)以及CornerCutoff(角切除)和InnerCutoff(内部切除)。

点击Next继续。

6、在这一页允许你选择板子的层数。

我需要两个signallayer,不需要powerplanes。

点击Next继续。

7、在设计中使用的过孔(via)样式选择Thru-holevias(通孔)only,点击Next。

8、在下一页允许你设置元件/导线的技术(布线)选取项。

选择Thru-holecomponents(通孔元件)选项,将相邻焊盘(pad)间的导线数设为OneTrack。

点击Next继续。

9、下一页允许你设置一些应用到你的板子上的设计规则。

设为默认值。

点Next按钮继续。

10、最后一页允许你将自定义的板子保存为模板,允许你按你输入的规则来创建新的板子基础。

我们不想将我们的教程板子保存为模板,确认该选项未被选择,点击Finish关闭向导。

11、PCB向导现在收集了需要的所有信息来创建新板子。

PCB编辑器将显示一个名为PCB1.PcbDoc的新PCB文件。

12、PCB文档显示的是一个默认尺寸的白色图纸和一个空白的板子形状(带栅格的黑色区域)。

要关闭图纸,选择Design»Options,在BoardOptions对话框取消选择DesignSheet。

13、现在图纸被关闭,选择View»FitBoard(热键V,F)将只显示板子形状。

14、PCB文档会自动添加(连接)到项目,并列表在Projects标签中紧靠项目名称的PCBs下面。

15、选择File»SaveAs来将新PCB文件重命名(用*.PcbDoc扩展名)。

指定这个PCB保存在硬盘上的位置,在文件名栏里键入文件名Multivibrator.PcbDoc并点击Save。

3.2通过上述步骤进行一个简单电路的测试,其原理图为:

PCB板是:

3.3进行AVRATmega128MCU原理图的创建。

四、实验程序设计

(1)对实验内容和实验电路进行分析,理出完成实验的设计思路。

(2)列出程序设计所使用的I/O端口或标志位、工作寄存器等资源的功能使用情况。

(3)画出程序设计流程图,包括主程序和各子程序流程图。

(4)自己设计的实验程序源代码。

(5)程序要有较详细的注释。

五、实验总结:

在进行编译的时候出现大量的错误,查其原因基本是各个模块在接口上存在问题,使得模块之间不能进行连接,所以得根据各个接口的功能设置其数据通路方向,得此解决问题;创建原理图时,图中也存在较多的悬浮标号,需要删除那些没有用到的悬浮标号;生成PCB板后进行布线的过程中,没有过布线的经验,使得布线很乱,在老师的指导下,基本较好的完成了布线

实验二、多功能电子公告板系统的电路与程序设计.

一.实验目的:

设计一个多功能电子公告牌系统。

实现汉字的显示、简单的滚屏和动画效果。

显示当前时间等。

二.实验要求:

要求汉字实现要求使用自己的小字库,可以在任意地方显示汉字。

汉子能按一定的方向流动并能消失。

三.实验原理:

LCD显示原理

1.LCD英文字符与汉字显示原理:

当用LCD显示英文字符或汉字时,需要将相应的字模信息写入LCD的显示数据缓存(DDRAM)中。

所谓“字模信息”是指待显示的字符或汉字在空间上的像素点分布信息。

一般的,英文字符的字模信息用16*8的像素矩阵来表示(如图5-2),而单个汉字的字模信息则用16*16的像素矩阵来表示(如图5-3)。

LCD每一个显示单元均与特定的显示数据缓存器(DDRAM)地址区域相对应,只要在指定的显示数据缓存器(DDRAM)的某个地址区域写入了完整的字模信息,则与该地址区域对应的LCD显示屏上便会显示该字符或汉字了。

图5-2LCD英文字符显示原理

图5-3LCD汉字显示原理

2.LCD图像显示原理:

LCM128645ZK的图像显示分辨率为128*64,每个像素信息用1个bit来表示,图像画面的显示效果就像一幅128*64大小的单色位图。

图像的像素信息存放在LCD的图像数据缓存器(GDRAM)中,只要向GDRAM中写入图像的像素信息,便可以在LCD上显示对应的图像了。

显示图像时,LCD屏幕上像素点的位置与图像数据缓存器(GDRAM)的地址存在一一对应的关系,具体的对应关系详见图5-9所示。

3.LCD读写控制:

在对LCD进行操作时,MCU需要向LCD写入命令字或数据,同时MCU也需要从LCD中读取当前LCD工作状态信息和地址等数据信息。

MCU对LCD的写操作时序如图4所示,MCU对LCD的读操作时序如图5-4所示。

当MCU需要对LCD进行写操作时,首先拉低LCD的读写控制线(R/W),随后置高LCD的引脚E以使能LCD。

最后,待数据引脚(DB0~DB7)上的数据准备好后,在LCD引脚E上产生一个下降沿,将待写入的数据或命令从I/O缓冲器中打入LCD的数据或指令暂存器中。

在写操作期间,若RS保持为低电平,则MCU向LCD写入指令;若RS保持为高电平,则MCU向LCD写入数据。

图5-4MCU写LCD时序图

当MCU需要对LCD进行读操作时,首先置高LCD的读写控制线(R/W),随后置高LCD的引脚E以使能LCD。

在读操作时,要求引脚E保持为高电平,直到读取过程完毕。

在读操作期间,若RS保持为低电平,则MCU读出当前LCD忙标志(BF)及地址记数器(AC)的状态;若RS保持为高电平,则MCU从数据暂存器中读出数据。

图5-5MCU读LCD时序图

四.实验代码:

#include

#include

#defineD_PORTPORTB

#defineSET_RS()PORTD|=_BV(PD5)

#defineCLR_RS()PORTD&=~_BV(PD5)

#defineSET_RW()PORTD|=_BV(PD6)

#defineCLR_RW()PORTD&=~_BV(PD6)

#defineSET_E()PORTD|=_BV(PD7)

#defineCLR_E()PORTD&=~_BV(PD7)

#defineNOP()asm("nop")

#defineDATA1

#defineCMD0

uint8_tarr1[32]=

{0x00,0x00,0x00,0x08,0x7f,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x10,0x3f,0xf8

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x04,0xff,0xfe,0x00,0x00,0x00,0x00

};//‘三’的编码地址

uint8_tarr2[32]=

{0x00,0x04,0x7f,0xfe,0x40,0x24,0x5f,0xf4,0x41,0x04,0x41,0x04,0x41,0x44,0x4f,0xe4

0x41,0x04,0x41,0x44,0x41,0x24,0x41,0x04,0x5f,0xf4,0x40,0x04,0x7f,0xfc,0x40,0x04

};//‘国’的编码地址

uint8_tarr3[32]=

{0x00,0x00,0x00,0x08,0x7f,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x10,0x3f,0xf8

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x04,0xff,0xfe,0x00,0x00,0x00,0x00

};

uint8_tarr4[32]={0x00,0x04,0x7f,0xfe,0x40,0x24,0x5f,0xf4,0x41,0x04,0x41,0x04,0x41,0x44,0x4f,0xe4

0x41,0x04,0x41,0x44,0x41,0x24,0x41,0x04,0x5f,0xf4,0x40,0x04,0x7f,0xfc,0x40,0x04

};

uint8_thztab[16*64]={

0X00,0X00,0X00,0X00,0X00,0X00,0X07,0X80,0X00,0XF0,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X8E,0X00,0X00,0X38,0X80,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X01,0XF8,0X00,0X00,0X0D,0XC0,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X01,0XF0,0X00,0X40,0X07,0X80,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0XE0,0X00,0X40,0X03,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0XC0,0X00,0X50,0X01,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X09,0X80,0XC0,0X5C,0X00,0XD8,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X1F,0X00,0X00,0X54,0X00,0XF8,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X01,0XC0,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X71,0XC7,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X04,0X31,0XC6,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X0C,0X7F,0XFE,0X18,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X0E,0XE0,0X07,0XF8,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X0F,0X00,0X3E,0X52,0X00,0X70,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X06,0X00,0X3A,0X51,0X00,0X60,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X06,0X00,0X18,0X51,0X80,0X30,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X0C,0X00,0X20,0X50,0X80,0X32,0X00,0X00,0X00,0X00,0X00,

0X00,0X83,0X87,0X07,0X00,0X7C,0X00,0X22,0X00,0X40,0X1E,0X03,0X87,0X04,0X1C,0X00,

0X03,0X84,0X48,0X88,0X00,0X78,0X00,0X00,0X50,0X44,0X1E,0X04,0X48,0X9C,0X22,0X00,

0X00,0X84,0X48,0X90,0X00,0X18,0X03,0X9F,0XFE,0XFC,0X18,0X00,0X48,0X84,0X22,0X00,

0X00,0X82,0X88,0X9E,0X00,0X18,0X03,0X3F,0XFC,0XF0,0X08,0X00,0X48,0X84,0X22,0X00,

0X00,0X83,0X88,0X91,0X00,0X18,0X03,0X3F,0XFC,0XE0,0X08,0X00,0X88,0X04,0X22,0X00,

0X00,0X84,0X47,0X91,0X00,0X78,0X00,0X3F,0XFC,0X00,0X18,0X01,0X88,0X84,0X20,0X00,

0X00,0X84,0X40,0X91,0X00,0X78,0X00,0X1F,0XF8,0X00,0X0F,0X03,0X08,0X84,0X22,0X00,

0X00,0X84,0X41,0X11,0X00,0X58,0X00,0X07,0XF0,0X00,0X0F,0X04,0X08,0X84,0X22,0X00,

0X03,0XE3,0X8E,0X0E,0X00,0X18,0X00,0X07,0XF0,0X00,0X08,0X07,0XC7,0X1F,0X1C,0X00,

0X00,0X00,0X00,0X00,0X00,0X18,0X00,0X07,0XF0,0X00,0X18,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X18,0X00,0X07,0XF0,0X00,0X18,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X7C,0X00,0X0F,0XF8,0X00,0X1C,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X6C,0X00,0X0F,0X28,0X00,0X1E,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X04,0X00,0X00,0X00,0X00,0X3E,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X04,0X04,0X19,0X0A,0X00,0X30,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X06,0X00,0X24,0X01,0X00,0X60,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X1F,0X01,0XE4,0X01,0XC0,0X60,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X1F,0XB8,0XE4,0X01,0XC0,0XF8,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X01,0XCC,0XE0,0X01,0XC1,0XB0,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0XC4,0X00,0X00,0X01,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0XF7,0XDF,0X7F,0XFF,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X01,0XF8,0X00,0X00,0X0F,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X01,0X9C,0X00,0X00,0X1F,0X80,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X0F,0X00,0X00,0X71,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X0F,0XC0,0X01,0XF8,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X1C,0XFC,0X3F,0X38,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X08,0X3F,0XFE,0X10,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X31,0XC6,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X71,0XC6,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X01,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,0X00,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1