基于FPGA的LED1616点阵汉字显示设计终搞.docx

上传人:b****6 文档编号:7113843 上传时间:2023-01-18 格式:DOCX 页数:21 大小:453.92KB
下载 相关 举报
基于FPGA的LED1616点阵汉字显示设计终搞.docx_第1页
第1页 / 共21页
基于FPGA的LED1616点阵汉字显示设计终搞.docx_第2页
第2页 / 共21页
基于FPGA的LED1616点阵汉字显示设计终搞.docx_第3页
第3页 / 共21页
基于FPGA的LED1616点阵汉字显示设计终搞.docx_第4页
第4页 / 共21页
基于FPGA的LED1616点阵汉字显示设计终搞.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

基于FPGA的LED1616点阵汉字显示设计终搞.docx

《基于FPGA的LED1616点阵汉字显示设计终搞.docx》由会员分享,可在线阅读,更多相关《基于FPGA的LED1616点阵汉字显示设计终搞.docx(21页珍藏版)》请在冰豆网上搜索。

基于FPGA的LED1616点阵汉字显示设计终搞.docx

基于基于FPGA的的LED1616点阵汉字显示设计终搞点阵汉字显示设计终搞基于FPGA的LED显示屏的设计丁莹莹(陕西理工学院物理与电信工程学院通信工程专业2010级3班,陕西汉中723003)指导教师:

郑争兵摘要主要研究基于FPGA的Led点阵汉字滚动显示。

首先描述了基于现场可编程门阵(FPGA)的硬件电路,以及点阵显示汉字的原理;然后在单个16X16LED发光二极管点阵上滚动汉字的原理;最后给出了描述其功能的VHDL语言程序设计方法。

通过编程、调试、仿真、下载正确地实现了汉字滚动显示扫描结果,其硬件系统的实验验证也获得了与软件模拟仿真结论相吻合的结果。

关键词LED点阵;FPGA;VHDL语言;汉字滚动显示ThedesignofLEDdisplaybasedonFPGADingYingying(Grade10,Class3,MajorofCommunicationEngineering,SchoolofPhysicsandTelecommunicationEngineering,ShaanxiUniversityofTechnology,Hanzhong723003,Shaanxi)Tutor:

ZhenZhengbingAbstractPrimaryresearchisbasedonFPGA,LedScrollingdotmatrixcharacters.Firstdescribedbasedonfieldprogrammablegatearray(FPGA)hardwarecircuit,aswellastheprinciplecharacterdotmatrixdisplay;andtheninasingle16X16LEDscrollingLEDdotmatrixontheprinciplesofChinesecharacters;Finally,theVHDLdescriptionlanguageprogramofitsfunctionsdesign.Throughprogramming,debugging,simulation,downloadthecorrectcharacterscrollachievedscanresults,theexperimentalverificationofitshardwareandsoftwarearealsoobtainedfindingsconsistentwithsimulationresults.KeywordsLEDdot-matrix;FPGA;VHDLlanguage;characterscrollingdispla第一章前言11.1本设计的研究背景和研究目的11.2LED点阵显示特点11.3FPGA设计的特点1第二章系统设计32.1设计任务与要求32.1.1设计任务32.1.2设计要求32.2设计原理32.2.1总体设计方案32.2.2方案的比较42.3扫描控制模块42.3.1LED的显示原理42.3.2汉字的存储52.4汉字显示52.4.1列循环扫描52.4.2字符样式设计62.4.3字母循环扫描及期间的延时环节92.5整个完整的程序9第三章系统调试与仿真143.1开发环境介绍143.2调试与仿真143.2.1创建工程143.2.2编译前设置143.2.3全程编译163.2.4时序仿真17第四章结束语18答谢辞18参考文献181引言目前,在机场、饭店等很多公共场所都能看到美观、实用的电子显示屏,它以高清、节能、控制简单、寿命长、耐冲击、性能稳定、成本低廉、环保等优势迅速占领市场。

作为大型平板显示设备的一种LED显示屏以其使用寿命长、维护费用低、功耗低等特点在显示领域占有重要的位置。

特别在近年,带有红、绿、蓝三基色以及灰度显示效果的全彩LED显示屏,以其丰富多彩的显示效果而倍受业界关注,成为LED显示屏市场近年增长幅度比较大的产品。

寿命、单位面积亮度、三基色的偏差程度、点距、对比度、灰度等级(包括灰度级数和线性度)、扫描频率等指标性能是衡量或横向比较大型显示设备好坏的标准。

而这些指标性能的优劣,很大程度上决定于扫描控制器的性能。

因此对大屏幕全彩LED显示扫描控制方法的研究有着重要的意义。

随着显示屏尺寸的扩大、亮度要求的增加,数据传输和控制的时间也会增加,如果仍然采用单片机作为控制器,将会影响显示效果,严重时可能无法正常工作,然而,这时若采用可编程逻辑器件作为控制器,则可解决这一难题。

一方面,随着微电子技术的发展和生产工艺的提高,器件的性能大有很大的提高,出现了高性能的现场可编程逻辑器件(FPGA),FPGA具有处理速度高、可靠性高、高容量和集成度高等特点,在大屏幕显示系统设计中使用FPGA可以满足现在的LED大屏幕系统对于处理视频数据的高速要求,同时改善电路的性能,缩小系统的体积。

设计中使用硬件描述语言进行电路设计,可以随时根据设计需要进行修改,而不必对硬件进行修改,它使系统的设计和调试非常方便,大大的缩短了产品的开发周期,降低了开发成本,也方便以后的系统升级。

(1)研究现状LED照明发展非常迅速,年增长率超过60%,随着LED发光效率的不断提升,封装技术不断改进,驱动陆能和寿命的增加,LED照明技术在未来5年内会逐渐进入千家万户。

在世界各国环保议题日渐重视的趋势下,LED照明产业将扮演极重要的角色,其主要应用在于室内、室外照明以及街灯等高功率产品。

据悉,2009年全球照明市场约1219亿美元,LED仅占0.5%,显见其未来潜力之可观。

其中,以亚太地区的市场规模为最大、约占全球33.7%之比例,居次为北美的30.1%和欧洲之27.4%。

以应用产品来讨论,户外照明约占12%,则具有相当大的成长空间,尤其以占有全球38%户外照明的中国市场为最。

此外,值得关注的部分是受政府政策及推广影响较为直接且快速的街灯应用可望成为照明产业中快速成长的第一棒。

预估在欧美优先领起的趋势中,2010年全球可达到450万盏LED街灯的水平,并且承于国际加紧节能减碳的脚步,一但路灯标准规格普及,中国市场可望占有世界50%以上的规模。

LED驱动器技术的发展体现在两个方面:

第一,离线式高功率因数校正可调光LED驱动器可替代卤素灯、白炽灯和荧光灯;第二,LED驱动器能高效替代低压卤素灯。

以上两种应用需要为LED提供电能及热能保护,以增加其耐用性。

其他发展趋势还包括优化驱动器以提供最佳功效,并非将电流最大化。

基于FPGA芯片控制全彩LED大屏幕图像显示系统系统设计随着数字技术的飞速发展,各种数字显示屏也随即涌现出来有LED、LCD、DLP等,各种数字大屏幕的控制系统多种多样,有用ARM+FPGA脱机控制系统,也有用PC+DVI接口解码芯片+FPGA芯片联机LED显示板控制系统。

尽管大尺寸液晶显示在未来的几年还有相当大的市场份额,但针对大尺寸直下式背光源的驱动和控制芯片的开发才刚刚有几年的历史。

针对LED背光源的特点,可以将复杂的控制技术和信号处理技术融合到背光源技术中,目前的做法是采用FPGA,各家均有不同的算法和控制方法。

随着背光技术和数字电视技术的发展,背光的控制算法及驱动方法的规范化,为了降低成本,将背光控制单元、屏显控制和电视的机芯微处理器由一个微处理器统一实现将是一种技术趋势。

(2)发展趋势高亮度LED为未来趋势:

高亮度LED的三大市场为:

汽车车灯市场、交通市场及全彩LED显示屏。

1)汽车车灯市场方面,红色高亮度LED应用于汽车第三煞车灯,而左右尾灯、方向灯及车边标识灯,可使用红色或黄色高亮度LED,而汽车仪表板上则需要各种颜色的高亮度LED,故汽车市场商机庞大。

2)交通号志方面,使用高亮度LED主要为节省能源,且在阳光照射下可仍清楚辨识。

依据资料显示,目前全球约有2000万座交通号志,而每一个红、黄、绿灯估计需要使用200颗高亮度LED,故一座交通号志约需600颗高亮度LED,如果考虑每年新设的交通号志加上更换旧交通号志,估计每年大约有200万座,以每座更新成本约1.5万台币计算,未来每年全球交通号志估计约有300亿的市场价值。

3)全彩LED显示屏方面,目前高亮度LED已可以产生红、绿、蓝三原色的光,组成大型全彩LED显示屏,目前大型LED看板的使用以日本、中国、香港、韩国、台湾、新加坡等亚洲地区为主,欧洲及美国其次。

中国大陆运用大型户外LED看板宣达政令,故有其一定之需求;欧洲方面,常见的应用是文字显示及气象预报图像,另也盛行使用LED显示屏做为广告招牌。

目前目前高亮度LED厂商持续costdown,市场接受度已逐渐提高,且高亮度LED价格高于传统LED,厂商投入意愿较大,未来可望逐渐取代传统亮度LED市场。

目前,基于FPGA(现场可编程门阵列)的LED显示屏的设计应用广泛,美国和中国台湾地区逻辑电路设计和制造厂家大都以VerilogHDL为主,中国大陆地区目前学习使用VerilogHDL已经超过VHDL。

从使用的角度看,对于集成电路(ASIC)设计人员来说,多是掌握verilog,因为在IC设计领域,90以上的公司都是采用verilog进行IC设计。

而对于PLD/FPGA设计者而言,两种语言没有太大差别。

(3)课题研究意义随着我国经济的高速发展,对公共场合发布信息的需求日益增长,利用LED点阵滚动显示汉字的出现正好适应了这一市场需求,已经成为信息传播的一种重要手段。

采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB板级的系统集成。

尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。

随着电子设计自动化(EDA)技术的进展,基于可编程FPGA器件进行系统芯片集成的新设计方法,也正在快速地到代基于PCB板的传统设计方式。

因此,本设计的研究是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。

并且采用编写灵活的VerilogHDL语言编写主程序。

(4)论文结构论文主要从方案论证及选择、硬件电路设计、系统软件设计、制作与调试等几大方面来介绍基于FPGA的LED显示屏的设计和制作过程。

其中方案论证及选择主要从两种可以实现基于FPGA的LED显示屏的设计方案中选择一个成本低、易操作、系统性能较高的方案。

其中主要设计包括:

系统软件设计、ROM存储、点阵汉字字模的提取。

系统软件设计主要是设计FPGA芯片进行控制所需要的相关程序,这部分程序采用Verilog语言进行编写。

最后的制作与调试主要是进行ROM和点阵汉字的显示并对点阵个点扫描显示进行相关测试,检测是否能达到设计原理实现的功能。

最后总结完善设计思路与程序,正确完成汉字的现实与滚动。

1.2LED点阵显示特点

(1)可以显示各种数字、文字、图表、曲线、图形;

(2)采用纯红、高绿作双基色发光器件,发光亮度高,色彩鲜艳、丰富;(3)显示效果清晰、稳定、功耗低、寿命长;(4)优质铝合金结构,磨沙、银镜或钛金不锈钢包边。

尺寸和规格可根据需要灵活组合;(5)支持各种计算机网络,编辑软件丰富、易用;(6)适用于室内、外所有信息发布及广告宣传场所。

如:

银行、证券交易所、商场、市场、宾馆、洒楼、电信、邮政、医院、车站、机场等。

1.3FPGA设计的特点设计的特点FPGA通常被认为是ASIC实现的一种替代手段.一般ASIC包括三种,既全定制、半定制(含标准单元和门阵列)以及可编程器件。

对于前两种,需要支付不可重复使用的工程费用NRE(NonrecurringEngineering),主要用于芯片的流片、中测、分析的工程开销,一次费用一般在1万至数万美元以上。

如果一次不成功、返工、甚至多次返工,NRE费用将要上升。

成本高、风险大,而通常对每个ASIC品种的需求量往往不大,NRE费用分摊到每个产品上价太高,用户无法接受。

而对于可编程器件PLD(ProgrammableLogicDevice)正是可以解决上述问题的新型ASIC,PLD以其操作灵活、使用方便、开发迅速、投资风险小等突出优点,特别适合于产品开发初期、科研样品研制或小批量的产品.FPGA是一种新型的PLD,其除了具有PLD的优点外,其规模比一般的PLD的规模大。

目前,Xilinx推出的XC4025可以达到25000门的规模,Altera公司的FLEX10K100系列芯片可达到十万门的规模,完全可以满足用户的一般设计需要。

FPGA的主要特点是:

寄存器数目多,采用查找表计数,适合时序逻辑设计。

但是互连复杂,由于互连采用开关矩阵,因而使得延时估计往往不十分准确。

FPGA也有其自身的局限性,其一就是器件规模的限制,其二就是单元延迟比较大。

所以,在设计者选定某一FPGA器件后,要求设计者对器件的结构、性能作深入的了解,在体系结构设计时,就必须考虑到器件本身的结构及性能,尽可能使设计的结构满足器件本身的要求.这样就增加了设计的难度。

离开对FPGA结构的详细了解,设计人员就不可能优化设计。

因而设计人员必须了解FPGA器件的特性和限制,熟悉FPGA的结构。

在了解FPGA结构特点的基础上,就可以利用VHDL语言描写出高效的电路描述实现性能优化的电路。

2.1方案论证与选择方案论证与选择2.1.1FPGA芯片选择芯片选择当今主要设计和生产厂家有Xilinx、Altera、Lattice、Atmel等公司。

其中Xilinx、Altera规模最大,市场占有率也最高。

其中Altera更适合教学使用,对于初学者来说入门门槛比较低。

同时,Altera公司给学习者提供很好的服务和支持。

工艺方面,Xilinx和Altera生产的FPGA都是基于SRAM的工艺的,需要在使用时外接一个片外存储以保存程序。

上电时,FPGA将外部存储器中的数据读入片内RAM,完成配置后,进入工作状态;掉电后恢复为白片,内部逻辑消失。

这样不仅能反复使用,还无需专门的FPGA编程器,只需通用的EPROM、PROM编程器即可。

本设计采用较为普遍的Altera公司的EP3C5FF484C8N芯片。

2.1.2串行通信模块串行通信模块输入接口模块提供PC上位机到FPGA核心板传输数据的接口。

输入接口是通过USB口以及JTAG下载线来实现从PC上位机传输数据至下位机。

上位机使用字模提取工具将待显示的数据发送至下位机,JTAG下载线实现PC到核心板的下载。

FPGA核心板与LED显示模块之间的通信也是通过USB-blaser实现的。

2.1.3LED点阵屏及驱动电路点阵屏及驱动电路本设计采用1616LED点阵屏由4块88LED点阵拼接而成,每一块点阵都有8行8列,因此总共有16根行控制线和16根列控制线。

方案一:

在LED点阵驱动电路中,采用1片4线/16线译码器74HC154作为行驱动,选用2片74HC154占用15个FPGA的I/O口(包括两个enable端)。

方案二:

使用4个移位寄存器74HC595(带存储器)驱动16*16的点阵,两个74HC595负责列扫描数据,两个74HC595负责行扫描数据。

最终只需要制作成16*16LED点阵。

本设计所使用的1616的点阵,EDA实验箱上有其接口电路,STR,SI,SCK,且实验箱上采用的是4个74HC595来实现行扫描和列扫描的。

方案比较及确定:

首先,考虑占用I/O口数量,方案一使用了15个I/O口,而方案二只使用了5个。

其次,考虑制作成本,一片74HC154大约四元,而74HC595只需0.7元。

再次,EDA试验箱上的FPGA主控芯片和点阵驱动电路完全符合此设计所需的条件。

而方案一需要购买开发板和4块点阵,成本比较大。

又因本设计的硬件电路简单,所以不必再去浪费资源,学校已经花了好几万买了EDA试验箱。

综合以上分析,本设计采用方案二,不仅大大的减少了I/O资源的占用,而且缩减了制作成本。

3.1系统组成系统组成本设计使用Altera公司的FPGA芯片完成16*16点阵式LED点阵显示屏的显示,配备相应的PC机软件,实现上位机与下位机的通信,实现左右移动显示形式,基于FPGA的LED点阵显示屏的系统。

框图如图2-1所示:

串口通信FPGA控制系统点阵显示模块上位机图2-1系统总体框图FPGA控制模块、点阵显示模块、上位机通信模块的协同工作,点阵显示模块实现LED点阵的驱动和显示功能。

驱动部分使用4个移位寄存器74HC595,74HC595(35mA)的功能是8位串行输入转并行输出移位寄存器。

两个74HC595负责列扫描数据,两个74HC164负责行扫描数据。

LED点阵是由4个大小为32mm*32mm的8*8共阳点阵组成16*16点阵,可以显示一个汉字,点阵屏可拆装,采用圆孔铜排针,连接性能非常好。

串口通信部分通过RS232串口实现。

用户可以通过上位机软件发送待显示的数据给FPGA控制系统。

74595的驱动功能介绍:

74595和74164功能相仿,都是8位串行输入转并行输出移位寄存器74164的驱动电流(25mA)比74595(35mA)的要小,14脚封装,体积也小一些。

74595的主要优点是具有数据存储寄存器,在移位过程中,输出端的数据可以保持不变。

这在串行速度慢的场合很有用出,数码管或发光二极管没有闪烁感。

与164只有数据清零端相比较,595还多有输出端时能/禁止控制端,可以使输出为高阻态。

74595的数据端:

QAQH:

8位并行输出端,可以直接控制数码管的8个段。

QH:

级联输出端。

我将它接下一个595的SI端。

SI:

串行数据输入端。

74595的控制端说明:

/SCLR(10脚):

低电平时将移位寄存器的数据清零。

接VCC。

SCK(11脚):

上升沿时数据寄存器的数据移位。

QA、QB、QC、QH:

下降沿移位寄存器数据不变。

RCK(12脚):

上升沿时移位寄存器的数据进入数据存储寄存器,下降沿时存储寄存器数据不变。

通常我们将RCK置为低,当移位结束后,在RCK端产生一个正脉冲,更新显示数据。

2.2工作原理工作原理本设计的工作原理为:

采用FPGA为核心控制模块,通过接收上位机数据控制LED点阵显示信息。

3.3扫描控制模块3.3.1LED的显示原理1616扫描LED点阵的工作原理同8位扫描数码管类似。

它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯,所以其扫描译码地址需4位信号线(SEL0-SEL3),其汉字扫描码由16位段地址(0-15)输入。

通过时钟的每列扫描显示完整汉字。

图2-2LED灯信号图2-31616点阵LED等效电路点阵LED一般采用扫描式显示,实际运用分为三种方式:

(1)点扫描

(2)行扫描(3)列扫描若使用第一种方式,其扫描频率必须大于1664=1024Hz,周期小于1ms即可。

若使用第二和第三种方式,则频率必须大于168=128Hz,周期小于7.8ms即可符合视觉暂留要求。

此外一次驱动一列或一行(8颗LED)时需外加驱动电路提高电流,否则LED亮度会不足。

2.3.2汉字的存储用动态分时扫描技术使LED点阵模块显示图像,需要进行两步工作。

第一步是获得数据并保存,即在存贮器中建立汉字数据库。

第二步是在扫描模块的控制下,配合行扫描的次序正确地输出这些数据。

获得图像数据的步骤是,先将要显示的每一幅图像画在一个如图3.3所示的被分成1616共256个小方格的矩形框中,再在有笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布,再将此分布关系以3216的数据结构组成64个字节的数据,并保存在只读存贮器ROM中。

以这种方式将若干个汉字的数据贮存在存贮器内,就完成了图像数据库的建立工作。

2.4汉字显示汉字显示使用的是1616的点阵,EDA实验箱上有其接口电路,列选信号为SEL0,SEL1,SEL2,SEL3,经4线16线译码器输出16列,从左起为第一列,列选信号是由一个4位向量SEL3.0控制;行选信号为H0H15,是由16个行信号组成的,每一行由一个单独的位来控制,高电平有效。

例如“0000”表示第0列,“0000000000000001”表示第一行的点亮。

由于列是由一个向量决定,而每一时刻的值只能有一个固定的值,因而只能使某一列的若干个点亮,因此就决定了只能用逐列扫描的方法。

例如要使第一列的2,4,6,8,行亮,则列为“0001”、行为“0000000010101010”就可以实现了。

4系统软件设计4.1程序设计程序设计程序流程图如图4.1所示。

图4.1整体软件流程图下面是各个部分的程序设计:

3.4.1列循环扫描列循环扫描通过对每一列的扫描来完成对字母的现实,只要扫描的频率足够快,就能给人以连续的感觉。

因此要控制扫描的频率,不能太低,否则,就会造成视觉上的不连续,本设计的扫描频率不得低于50Hz,扫描程序如下:

3.4.2字符样式设计字符样式设计本环节是建立一个数据库,使之能在扫描的同时读取所需要的信息,从而完成汉字的显示。

本次设计“陕、西、理、工、学、院”汉字样式设计程序如下:

2.4.3字母循环扫描及期间的延时环节为使汉字不断地循环显示,并且使每个汉子之间有停顿,就需要在中间加一定的延时和循环环节。

在这一环节中,可以通过修改其数值来控制每个字母的显示时间。

其程序如下:

always(posedgeCLKornegedgeReset)beginif(!

Reset)beginClk_Cont=0;R_Data=96d0;H_Data=16d0;endelsebeginClk_Cont=Clk_Cont+1;R_Data=(Rom_DataMove_cnt);H_Data=1ROW;endendreg3:

0Row_cnt;reg31:

0Rom_Data_r;always(posedgeCLKornegedgeReset)beginif(!

Reset)beginState=0;ROW=0;R1=1b0;LI=1b0;SK=1b0;Row_cnt=4d0;ROM_ADDRESS=5d0;Rom_Data_r=H_Data,R_Data95:

80;Data_Cont=0;endelsebegincase(State)4d0:

beginif(Data_Cont=8d32)beginData_Cont=0;State=4d3;endelseif(!

DIV_CLK)beginSK=1b0;State=4d1;endend4d1:

beginR1=Rom_Data_r31;if(DIV_CLK)beginSK=1b1;State=4d2;endend4d2:

beginData_Cont=Data_Cont+8d1;Rom_Data_r=(Rom_Data_r1);Sta

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工作范文 > 行政公文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1