低频数字相位测量仪本科论文.docx

上传人:b****5 文档编号:6995803 上传时间:2023-01-15 格式:DOCX 页数:75 大小:1.04MB
下载 相关 举报
低频数字相位测量仪本科论文.docx_第1页
第1页 / 共75页
低频数字相位测量仪本科论文.docx_第2页
第2页 / 共75页
低频数字相位测量仪本科论文.docx_第3页
第3页 / 共75页
低频数字相位测量仪本科论文.docx_第4页
第4页 / 共75页
低频数字相位测量仪本科论文.docx_第5页
第5页 / 共75页
点击查看更多>>
下载资源
资源描述

低频数字相位测量仪本科论文.docx

《低频数字相位测量仪本科论文.docx》由会员分享,可在线阅读,更多相关《低频数字相位测量仪本科论文.docx(75页珍藏版)》请在冰豆网上搜索。

低频数字相位测量仪本科论文.docx

低频数字相位测量仪本科论文

低频数字相位测量仪

向文芳

摘要

设计了一个基于现场可编程门阵列(FPGA)和AT89S51单片机相结合的低频数字相位测量仪。

本系统可分为三大基本组成部分:

数据测量电路、数据运算控制电路和数据显示电路。

FPGA数据测量电路的功能就是实现将待测正弦信号的周期、相位差转变为19位的数字量。

单片机数据运算控制电路的功能就是负责读取FPGA测量到的数据,并根据这些数据计算待测正弦信号的频率及两路同频正弦信号之间的相位差,同时通过功能键切换,显示出待测信号的频率和相位差。

数据显示电路的设计采用静态显示方式,显示电路由8片1位串入8位并出的74LS164芯片组成。

本系统拟用FPGA和单片机相结合,构成整个系统的测控主体。

整个系统发挥了FPGA和单片机各自的优势,具有高速而可靠的测控能力,具有比较强的数据处理能力,键盘输入及显示控制比较灵活,系统可扩展性比较好,整个系统性能价格比比较好。

 

关键词FPGA,单片机,频率,相位差,相位测量仪

ABSTRACT

ThepaperhasdesignedalowfrequencydigitalphasemeasuringinstrumentbasedonthesystemwhichcommunicatesFPGAandthemicrocontroller(AT89S51).Thissystemincludesthreemodules:

datameasuringelectriccircuit,dataoperationcontrolcircuitanddatadisplayelectriccircuit.ThefunctionoftheFPGAdatameasuringelectriccircuitistransformsthecycle,phasedifferenceofthetwoinputsinesignalstobe19bitofdigitaldata.ThefunctionaboutmicrocontrollerdataoperationcontrolcircuitistoreadthedatawhichFPGAhasmeasured,andaccordingtothesedatacomputationsthefrequencyandthephasedifferencebetweentwogroupsinesignalswhichhavesamefrequencies,simultaneouslythroughthefunctionkeyswitch,displayingthefrequencyandphasedifferenceofthetwogroupsinesignals.Thedatadisplayelectriccircuit’sdesignadoptingthestaticstatedisplaymode,thedisplaycircuitiscomposedby8piecesof74LS164chip(8-bitSerial-Input/Parallel-OutputshiftRegister).

ThissystemplanswithFPGAandthemicrocontroller,framingthephasemeasuringinstrument’sdominantmeasurementandcontrolsystem.TheoverallsystemhasdisplayedFPGAandthemicrocontrollerrespectivesuperiority,hashighspeedandthereliablemeasurementandcontrolability,andhasthequitestrongcapacityofdealwithdata,thekeyboardentryandthedisplaycontrolalsoquiteflexible.Thesystem’sextensionisquitegood.Allthesuperioritiesmakethegoodperformancepriceratioofthislowfrequencydigitalphasemeasuringinstrument.

 

KeywordsFPGA,Microcontroller,Frequency,Phasedifference,Phasemeasurementsystem

目录

摘要I

ABSTRACTII

1绪论1

1.1课题背景1

1.1.1研究意义1

1.1.2研究现状、水平及发展趋势1

1.2课题核心3

2系统设计4

2.1设计要求4

2.2总体设计思想4

2.3总体测量思路5

3设计方案6

3.1测量方案6

3.1.1频率测量6

3.1.2相位差测量8

3.2正弦波信号发生器设计9

3.3信号整形电路的设计9

3.3.1方案论证9

3.3.2关于四电压比较器LM33911

3.4移相网络设计11

3.4.1性能要求11

3.4.2方案论证11

4FPGA数据测量电路的设计14

4.1设计原理14

4.1.1设计思路14

4.1.2FPGA数据测量电路的测量原理14

4.1.3精度分析14

4.2FPGA概述15

4.3设计平台16

4.3.1软件设计平台16

4.3.2硬件设计平台17

4.4具体软件设计18

4.4.1QuartusⅡ应用18

4.4.2软件设计方法19

4.4.3模块功能描述和系统原理图19

4.4.4运行监测程序20

4.4.5程序中端口及引脚定义20

4.5具体硬件连接21

4.5.1与单片机的连接21

4.5.2其它连接22

5单片机数据运算控制电路的设计23

5.1设计思路23

5.1.1硬件电路和原理图23

5.1.2精度分析24

5.2AT89S51概述24

5.2.1AT89S51单片机24

5.2.2AT89S51特性24

5.2.3引脚排列25

5.2.4引脚功能26

5.2.5低功耗运行模式28

5.3设计平台29

5.3.1软件设计平台29

5.3.2硬件设计平台29

5.4软件设计思路29

5.4.1主程序设计29

5.4.2数据读取模块30

5.4.3频率计算模块30

5.4.4相位差计算模块31

5.4.5显示模块31

6数据显示部分33

6.1显示电路的设计33

6.1.1设计思路33

6.1.2LED显示器接口原理33

6.1.3显示电路图34

6.2关于74LS16434

6.2.174LS164概述34

6.2.2连接说明34

6.2.3数码管的编码35

7系统仿真和硬件验证36

7.1系统的仿真36

7.1.1系统调试的方法36

7.1.2系统调试的软/硬件36

7.1.3系统的有关仿真36

7.1.4仿真分析37

7.2系统的硬件验证39

7.2.1单元电路的调试39

7.2.2系统的联合调试40

7.2.3系统的硬件验证40

7.3数据测试40

7.3.1数据记录40

7.3.2数据分析41

结论42

附录A:

本设计FPGA的VHDL源代码45

附录B:

单片机的汇编语言源程序清单51

附录C:

适合于QuartusⅡ的部分器件引脚对照表67

附录D:

系统总图67

参考文献69

致谢70

1绪论

1.1课题背景

1.1.1研究意义

在电子测量技术中,频率测量是最基本的测量之一。

频率是信号的重要参数之一,如何获得这一准确数据已经在信息领域显得越来越重要了。

相位测量在信号提取、检测、处理等方面有着重要的应用。

随着相位测量技术广泛应用于国防、科研、生产等各个领域,对相位测量的要求也逐步向高精度、高智能化方向发展,在低频范围内,相位测量在电力、机械等部门有着尤其重要的意义,对于低频相位的测量,用传统的模拟指针式仪表显然不能够满足所需的精度要求,随着电子技术以及微机技术的发展,数字式仪表因其高精度的测量分辨率以及高度的智能化、直观化的特点得到越来越广泛的应用。

相位差是工业测控领域经常需要测量的参数,如电力系统中功率因数的测量、铁路系统中相敏轨道电路相位差的测量以及科氏质量流量计中的相位差测量等等。

[1]而相位差的测量又不同于传统的电压、电流信号或物位、温度量的测量。

首先,相位差信号依附于电压、电流信号中,如何剔除电压、电流、频率变化对相位差测量的影响是相位差测量中很重要的一个方面;其次相位差是一个比较量,测量两路信号之间的相位差不仅需要保证两路信号的频率相同,而且要排除由于两路信号的幅值等其它因素不一致而对测量造成的影响。

因此,如何准确可靠地测量相位差是值得研究的课题。

1.1.2研究现状、水平及发展趋势

本设计采用EDA(ElectronicDesignAutomation)技术,将FPGA与单片机相结合来实现的。

EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(HardwareDescriptionLanguage)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

EDA工程在我国尚未普及,电子行业的专业人员、电子和计算机专业的大学生以及研究生亟需掌握EDA工程的理论、方法和技术。

[2]

测频

常用的测频法和测周期法在实际应用中具有较大的局限性,并且对被测信号的计数存在±1个字的误差。

而在直接测频方法的基础上发展起来的等精度测频方法消除了计数所产生的误差,实现了宽频率范围内的高精度测量,但是它不能消除和降低标频所引入的误差。

采用相检宽带测频技术,不仅实现了对被测信号的同步,也实现了对标频信号的同步,大大消除了一般测频系统中的±1个字的计数误差,并且结合了现场可编程门阵列(FPGA),具有集成度高、高速和高可靠性的特点,使频率的测量范围可达到1Hz~2.4GHz,测频精度在 1s闸门下达到10~11数量级。

频率测量是电子测量中经常遇到的问题,如何提高频率测量的准确度是关键。

通常采用的方法有如下两种:

低频端测周期高频端测频和多周期同步测量频率。

采用低频端测周期高频端测频时存在中界频率测量误差很大即测量死区问题,也就是说不论低端和高端测量准确度有多高,中界频率测量误差总是最大。

因此从理论上讲频率的测量准确度很难提高到某个数量级;多周期同步测频法则不存在这样的问题,只要周期数足够大,测量的准确度总可以提高到一定程度。

但多周期同步测量实际上只是对被测信号进行同步,对时钟信号并未同步,因此它只是一种准同步。

根据多周期同步测频原理及测量误差,目前已提出完全同步频率测量的新方法,最后使用单片机实现这种测量,使测量频率的准确度大大提高。

完全同步测量就是门控信号与被测信号和标准晶振信号都相关,测量开始和结束时门控信号与被测信号和标准晶振信号都同步,也就是门控时间既是被测信号周期的整数倍又是晶振信号周期的整数倍。

这样在门控时间内被测信号和标准晶振信号都没有量化误差,从而实现两信号的完全双同步。

利用相位检测技术控制同步触发即可实现。

当两路信号在某点相位相同,经过若干周期后它们在同一相位点相位又相同,那么这段时间两路信号一定都经过整数个周期(但周期数不一定相同),用它作为同步门控时间控制两个主门的开启,两个计数器都不会产生±1误差,从而实现真正意义上的同步测量。

测相位差

两种常见的基于过零检测的相位差测量方法

1)基于异或门的测量方法:

两路同频信号经过零比较后,得到两路同周期的方波。

该两方波经异或后得到的脉冲宽度与信号周期的比值(占空比)即对应为两信号的相位差。

这里的异或门相当于鉴相器。

对脉宽信号的处理有两种方法:

a.电压测量法。

这种方法需要把脉冲宽度转换成积分电容上的电压信号,然后再通过A/D转换成数字量。

由于采用电容充放电的测量形式,故不能用于较高分辨率的测量。

这种模拟测量法现已被下述数字法代替。

b.数字计数法。

通过微处理器或定时、计数器对脉冲宽度计数,这种方法比电压测量法的精度有了一定的提高,但仍存在一些问题,如需要同步地获取异或后脉冲宽度和信号的周期并测量它们,这对于大多数微处理器来说是比较困难的。

2)直线近似法:

此方法用于双极性信号的测量,故不能用于方波的相位差测量。

传统相位差测量方法的测量误差主要来自于对模拟信号的处理过程中,如模拟滤波器在滤除干扰的同时由于元件参数的离散性,测量元件受环境的影响以及元件老化带来的影响都会引入测量误差;又如信号经过比较器时由于比较器门限电压的存在而造成测量误差,这些误差都很难准确估量,也很难消除。

目前较准确的测量以基于DSP的相位差的测量为代表,如基于函数计算的测量方法,基于傅立叶变换的测量方法等。

1.2课题核心

本设计采用单片机和现场可编程门阵列(FPGA)作为低频数字相位测量仪的核心部分。

考虑到FPGA具有集成度高,I/O资源丰富,稳定可靠,可现场在线编程等优点,而单片机具有很好的人机接口和运算控制功能,本系统拟用FPGA和单片机相结合,构成整个系统的测控主体。

其中,FPGA主要负责测量两个同频待测正弦信号的频率和相位差所对应的时间差,而单片机则负责读取FPGA测量到的数据,并根据这些数据计算待测正弦信号的频率及两路同频正弦信号之间的相位差,同时通过功能键切换显示出待测信号的频率和相位差。

整个系统发挥了FPGA和单片机各自的优势,具有高速而可靠的测控能力,具有比较强的数据处理能力,键盘输入及显示控制比较灵活,系统可扩展性能比较好,整个系统性能价格比比较好。

2系统设计

2.1设计要求

设计并制作一个低频数字相位测量仪,其设计要求如下:

(1)频率范围:

20Hz~20kHz。

(2)相位测量仪的输入阻抗≥100kΩ。

(3)允许两路输入正弦信号峰-峰值可分别在1~5V范围内变化。

(4)相位测量绝对误差≤2°。

(5)具有频率测量及数字显示功能。

相位差数字显示:

相位读数为0~359.9°,分辨力为0.1°。

2.2总体设计思想

根据系统的设计要求,本系统可分为三大基本组成部分:

数据测量电路、数据运算控制电路和数据显示电路。

考虑到FPGA具有集成度高,I/O资源丰富,稳定可靠,可现场在线编程等优点,而单片机具有很好的人机接口和运算控制功能,本系统拟用FPGA和单片机相结合,构成整个系统的测控主体。

其中,FPGA主要负责测量两个同频待测正弦信号的频率和相位差所对应的时间差,而单片机则负责读取FPGA测量到的数据,并根据这些数据计算待测正弦信号的频率及两路同频正弦信号之间的相位差,同时通过功能键切换显示出待测信号的频率和相位差。

同时,由于FPGA对脉冲信号比较敏感,而被测信号是周期相同、相位不同的两路正弦波信号,为了准确地测出两路正弦波信号的相位差及其频率,我们需要对输入波形进行整形,使正弦波变成方波信号,并输入FPGA进行处理。

综上所述,整个系统的总体原理框图如图2.1所示。

图2.1系统原理框图

2.3总体测量思路

测量原理图如图2.2所示。

CLKAA、CLKBB为两路频率相同、相位不同的正弦波信号整形后得到的方波信号;CLKF为FPGA数据采样信号(频率为10MHz);ENA为时间检测使能信号,它是在FPGA内部根据CLKAA、CLKBB产生的(例如图示是根据CLKAA产生的)。

图2.2测量原理示意图

FPGA测量原理:

在ENA有效期间,当任意一路待测信号的下降沿来时(例如图中所示为CLKAA),FPGA开始对CLKF周期(TC)进行计数,计至另一路信号的下降沿来时(例如图中为CLKBB),此时得到一个19位的计数值,该计数值我们设它为N1,即为对两个同频正弦信号的时间差计数得到的计数值;ENA还在有效期间,FPGA继续对CLKF周期进行计数,计至第一路信号(例如图示中为CLKAA)的又一个下降沿来时,此时得到一个19位的计数值,该计数值我们设它为N2,即为对被测正弦信号的周期计数得到的计数值。

单片机计算原理:

单片机从FPGA读取信号的周期和a、b信号相位差所对应的时间差,为了达到系统所要求的精度,在计算时为了保证不丢失数据,采用扩大数据倍数,定点取数的方法。

在计算频率和相位差f和相位差

时,f和

分别扩到了10000000倍和10倍,即

式(2.1)

式(2.2)

然后定点取数值,在单片机完成的计算中,当

时,数据位数

位,因此采用了多字节乘法,保证了数据的计算准确。

3设计方案

3.1测量方案

3.1.1频率测量

方案一:

采用测周期法。

需要有标准信号的频率f0,在待测信号的一个周期TX内,记录标准频率的周期数NS,则被测信号的频率为

式(3.1)

如图3.1所示。

这种方法的计数值会产生

个脉冲误差,并且测试精度与计数器中记录的数值NS有关。

为了保证测试精度,测周期法适合于低频信号的测量。

图3.1测周期法测量频率示意图

方案二:

采用测频法。

测频法就是在确定的闸门时间TW内,记录被测信号的变化周期数(或脉冲个数)NX(如图3.2所示),则被测信号的频率为

式(3.2)

这种方法的计数值会产生

个脉冲误差,并且测试精度与计数器中记录的数值NX有关。

图3.2测频法测量周期频率示意图

方案三:

采用等精度频率测量法,测量精度保持恒定,不随所测信号的变化而变化。

在快速测量的要求下,要保证较高精度的测频,必须采用较高的标准频率信号。

单片机受本身时钟频率和若干指令运算的限制,测频速度较慢,无法满足高速、高精度的测频要求;而采用高集成度、高速的现场可编程门阵列FPGA为实现高速,高精度的测频提供了保证。

等精度测频法:

其实现方式可用图3.3来说明。

图3.3等精度测频法原理框图

图中,预置门控信号是宽度为Tpr的一个脉冲,CNT1和CNT2是两个可控计数器。

标准频率信号从CNT1的时钟输入端CLK输入,其频率为fS,经整形后的被测信号从CNT2的时钟输入端CLK输入,设其实际频率为fX;当预置门控信号为高时,经整形后的被测信号的上升沿通过D触发器的Q端同时启动计数器CNT1和CNT2。

CNT1和CNT2分别对被测信号(频率为fS)和标准频率信号(频率为fX)同时计数。

当预置门信号为低时,随后而至的被测信号的上升沿将两个计数器同时关闭。

设在一次预置门时间Tpr内对被测信号的计数值为Nx,对标准信号的计数值为Ns。

则下式成立:

式(3.3)

由此推得:

式(3.4)

若所测频率值为fX,其真实值为fXe,标准频率为fS,一次测量中,由于fX计数的起停时间都是该信号的上跳沿触发的,因此在Tpr时间内对fX的计数NX无误差,在此时间内的计数NS最多相差一个脉冲,即Δet≤1,则下式成立:

式(3.5)

式(3.6)

可分别推得

式(3.7)

式(3.8)

根据相对误差的公式有

式(3.9)

经整理可得到

式(3.10)

因Δet≤1,故Δet/NS≤1/NS,即

式(3.11)

式(3.12)

根据以上分析,我们可知等精度测频法具有三个特点:

相对测量误差与被测频率的高低无关;

增大Tpr或fS可以增大NS,减少测量误差,提高测量精度;

测量精度与预置门宽度和标准频率有关,与被测信号的频率无关,在预置门和常规测频闸门时间相同而被测信号频率不同的情况下,等精度测量法的测量精度不变。

经过综合考虑,结合设计需求,选用第三种方案,即用等精度测频法来实现本设计频率测量。

3.1.2相位差测量

方案一:

将被测的两路正弦波信号整成方波信号,利用异或门电路进行鉴相处理,将得到的脉冲序列经过RC平滑滤波取出其直流分量,该直流电平的幅值与两路信号的相位差成正比,将此信号送入A/D转换器由单片机进行运算处理从而计算出相位差值。

方案二:

采用脉冲填充计数法,将正弦波信号整成方波信号,其前后沿分别对应于正弦波的正相过零点与负相过零点,对两路方波信号进行异或操作之后输出脉冲序列的脉宽可以反映两列信号的相位差,以输入信号所整成的方波信号作为基频,经锁相环倍频得到的高频脉冲作为闸门电路的计数脉冲,由单片机对获取的计数值进行处理得到两路信号的相位差。

方案三:

将两路被测正弦波信号整成方波信号,在一路信号的上升沿来时鉴相部分同方案二,将两路方波信号异或后与晶振的基准频率进行与操作,得到一系列的高频窄脉冲序列。

通过两片计数器同时对该脉冲序列进行计数,一路方波信号送入单片机外部中断口,作为控制信号控制两片计数器。

得到的两路计数值送入单片机进行处理得到相位差值。

对以上三种方案进行比较,方案一在低频段时,RC滤波电路的输出波动很大,难以达到要求的相位精度,而方案二在所测频率较高时,受锁相环工作频率等参数的影响会造成相位差测量的误差,极大地影响测量的精度,采用方案三由高精度的晶振产生稳定的基准频率,可以满足系统高精度、高稳定度的要求。

3.2正弦波信号发生器设计

方案一:

采用模拟分立元件或单片机控制函数发生器完成设计。

通过调整外部元件可以改变输出频率,产生正弦波。

但是采用模拟器件分散性大,产生的频率稳定性较差、精度低、抗干扰能力差、成本也比较高。

方案二:

采用直接数字频率合成,用单片机作为核心控制部件,能达到较高的要求,实现各种波形输出,但受限于运算位数及运算速度,产生的波形往往需通过滤波器才能达到满意效果,并且频率可调范围小,很难得到较高频率。

方案三:

采用直接数字频率合成,用FPGA器件作为核心控制部件,精度高稳定性好,得到波形平滑,特别是由于FPGA的高速度,能实现较高频率的波形,且控制上更方便,可得到较宽频率范围的波形输出,步进小。

第三种方案具有更大的优越性、灵活性,所以采用第三种方案进行设计。

3.3信号整形电路的设计

3.3.1方案论证

方案一:

最简单的信号整形电路就是用一块集成的施密特触发器,例如MC1422、CC/CD40106、HA4900等,如图3.3所示为40106的内部结构及引脚图。

图3.3CD40106的内部及引脚图

方案二:

采用一个单门限电压比较器(如图3.4所示),当输入信号没通过一次零时触发器的输出就要产生一次突然的变化。

当输入正弦波时,每过一次零,比较器的输出端将产生一次电压跳变,它的正负向幅度均受到供电电源的限制,因此输出电压波形是具有正负极性的方波,这样就完成了电压波形的整形工作。

但该信号整形电路抗干扰能力差:

由于干扰信号的存在,将导致信号在过零点时会产生多次触发的现象,从而影响本系统中FPGA计数,使单片机无法计算出正确数值。

图3.3采用单门限触发器的整形电路

方案三:

为了避免过零点多次触发的现象

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 院校资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1