基于FPGA的密码锁设计_精品文档.doc

上传人:b****2 文档编号:694828 上传时间:2022-10-12 格式:DOC 页数:19 大小:415.52KB
下载 相关 举报
基于FPGA的密码锁设计_精品文档.doc_第1页
第1页 / 共19页
基于FPGA的密码锁设计_精品文档.doc_第2页
第2页 / 共19页
基于FPGA的密码锁设计_精品文档.doc_第3页
第3页 / 共19页
基于FPGA的密码锁设计_精品文档.doc_第4页
第4页 / 共19页
基于FPGA的密码锁设计_精品文档.doc_第5页
第5页 / 共19页
点击查看更多>>
下载资源
资源描述

基于FPGA的密码锁设计_精品文档.doc

《基于FPGA的密码锁设计_精品文档.doc》由会员分享,可在线阅读,更多相关《基于FPGA的密码锁设计_精品文档.doc(19页珍藏版)》请在冰豆网上搜索。

基于FPGA的密码锁设计_精品文档.doc

评语

学号14082200163成绩

《现代数字系统设计》课程论文

题目基于FPGA的密码锁设计

作者嘿嘿班级0803BF

院别信息与通信工程专业电子信息工程

完成时间2011年5月8日

基于FPGA的密码锁设计

【摘要】基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:

保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。

目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。

在实际应用中,由于程序容易跑飞,系统的可靠性能较差。

本文介绍一种基于现场可编程门阵列FPGA器件的电子密码锁的设计方法采用VerilogHDL语言对系统进行描述,并在EP1K10TC100-3上实现。

系统所实现的功能:

用户给电子密码锁设定一个密码,当使用本机键盘开锁时,该密码与用户设定的密码比较,如果密码正确,则开锁;如果密码不正确,则允许用户重新输入密码,最多可输入三次,若三次都不正确,则扬声器报警,直到按复位键才允许再次输入代码。

通过仿真调试,利用可编程器件FPGA的电子密码锁的设计基本达到了预期目的。

当然,该系统在一些细节的设计上还需要不断的完善和改进,特别是对系统的扩展有很好的实用和设计的价值。

【关键词】:

现场可编程门阵列,硬件描述语言,电子密码锁,扬声器

【Abstract】FPGA-baseddesignoftheelectroniccodelockisasmalldigitalsystem,electronicpasswordlockcomparedwithordinarymechanicallocks,withmanyuniqueadvantages:

goodprivacy,securityandstrong,cannotkeytorememberpasswordstounlock,andsoon.AtpresenttheuseoftheelectroniccodelockonmostofSCMtechnologytoSCMasthemaindevices,encodersanddecodersofHealthandthesoftwareapproach.Inpractice,sincetheprocesseasyruntofly,thereliabilityofthesystemcanbepoor.Basedonthispaper,afieldprogrammablegatearraysFPGAdeviceselectronicpasswordlockdesign,VerilogHDLlanguageusedtodescribethesystemandachieveEP1K10TC100-3.

Implementationofthesystemfeatures:

usersofelectronicpasswordlocktosetapassword,whenusingthekeyboardunlockthemachine,theuserpasswordandsetthepassword,ifthecorrectpassword,thenunlockifthepasswordisnotcorrect,allowsUserstoenterapassword,canenteruptothreetimes,threetimesifnotcorrect,thespeakeralarm,untiltheresetbuttononlyallowedtore-enterthecode.

ThesimulationtestsusingprogrammabledevicesFPGAelectronicpasswordlockthebasicdesigntoachievetheexpectedgoal.Ofcourse,someofthedetailsofthesysteminthedesignoftheneedtoconstantlyrefinedandimproved,inparticulartheexpansionofthesystemhaveagooddesignandpracticalvalue.

【Keywords】:

FPGA,VerilogHDL,electronicpasswordlock,speaker

基于FPGA的密码锁设计 2

一、概述 5

1.1电子密码锁的现状 5

1.2论文主要完成的工作 6

二、系统硬件设计 6

2.1系统设计方案 6

2.1.1系统功能需求分析 6

2.1.2系统实现方案的论证比较 6

2.1.3系统方案的总体设计 7

2.2主控模块 8

2.2.1主控芯片EP1K10TC100-3的介绍 8

2.3键盘模块 8

2.4显示模块 9

2.5报警模块 10

三、系统软件设计 10

3.1系统主控制流程框图 10

3.2键盘扫描程序框图 11

3.3获取键值程序框图 12

3.4解码、报警模块 13

3.5系统软件设计总RTL级图 14

四、系统测试与总结 14

4.1仿真波形图 14

4.2本次课题设计体会 15

参考文献 15

附录 15

一、概述

1.1电子密码锁的现状

随着我国对外开放的不断深入,高档建筑发展很快,高档密码锁具市场的前景乐观。

我国密码锁具行业对密码锁具高新技术的投入正逐年增大,高档密码锁的市场需求也逐年增加。

在安防工程中,锁具产品是关系到整个系统安全性的重要设备,所以锁具产品的优劣也关系了整个安防工程的质量和验收。

目前,市场上比较先进的智能电子密码锁分别有:

IC卡电子密码锁、射频卡式电子密码锁、红外遥控电子密码锁、指纹识别电子密码锁和瞳孔识别电子密码锁等。

IC卡电子密码锁成本低,体积小,卡片本身无须电源等优点占领了一定的市场份额,但是由于有机械接触,会产生接触磨损,而且使用不太方便,在一定程度上限制了它的应用;射频卡式电子密码锁是非接触式电子密码锁,成本也不太高,体积跟IC卡密码锁相当,卡片使用感应电源,重量很轻,技术成熟,受到了广泛的欢迎,但是与IC卡电子密码锁相比,成本偏高;指纹识别电子密码锁和瞳孔识别电子密码锁可靠性很高,安全性是目前应用系统中最高的,但是成本高昂,还没进入大众化使用阶段。

在国外,美国、日本、德国的电子密码锁保密性较好,并结合感应卡技术,生物识别技术,使电子密码锁系统得到了飞跃式的发展。

这几个国家的密码锁识别的密码更复杂,并且综合性比较好,已经进入了成熟期,出现了感应卡式密码锁,指纹式密码锁,虹膜密码锁,面部识别密码锁,序列混乱的键盘密码锁等各种技术的系统,它们在安全性,方便性,易管理性等方面都各有特长,新型的电子密码锁系统的应用也越来越广。

基于FPGA的电子密码锁是新型现代化安全管理系统,它集微机自动识别技术和现代安全管理措施为一体,它涉及电子,机械,计算机技术,通讯技术,生物技术等诸多新技术。

它是解决重要部门出入口实现安全防范管理的有效措施,适用各种场合,如银行、宾馆、机房、军械库、机要室、办公间、智能化小区、工厂、家庭等。

在数字技术网络技术飞速发展的今天,电子密码锁技术得到了迅猛的发展。

它早已超越了单纯的门道及钥匙管理,逐渐发展成为一套完整的出入管理系统。

它在工作环境安全、人事考勤管理等行政管理工作中发挥着巨大的作用。

在该系统的基础上增加相应的辅助设备可以进行电梯控制、车辆进出控制,物业消防监控、餐饮收费、私家车库管理等,真正实现区域内一卡智能管理。

目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。

在实际应用中,由于程序容易跑飞,系统的可靠性能较差。

基于FPGA的电子密码锁已经是现代生活中经常用到的工具之一,用于各类保险柜、房门、防盗门等等。

用电子密码锁代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点。

由于采用的是可编程逻辑器件FPGA,使得系统有相当大的灵活性,随时可以进行硬件升级、扩展,而且系统设计完善以后还可以将主控的FPGA固化成一片ASIC,那么这块ASIC就可以作为专用的数字密码锁芯片。

而且由于硬件可升级,还可随时增加密码位数或增加新的功能,使得密码锁有更高的安全性、可靠性和方便性。

1.2论文主要完成的工作

课题主要解决系统硬件和软件两方面的问题。

硬件方面要解决FPGA可编程器件与其外围电路的接口设计的问题;软件方面主要问题是利用VerilogHDL语言完成基于FPGA的电子密码锁的编程问题。

除此之外,程序还要完成基本的密码开锁功能,并通过扬声器长时间鸣叫报警。

本设计是由FPGA可编程逻辑器件编程实现的控制电路,具体有按键指示、输入错误提示、密码有效指示、控制开锁、控制报警等功能。

它具有安全可靠、连接方便、简单易用、结构紧凑、系统可扩展性好等特点。

二、系统硬件设计

2.1系统设计方案

2.1.1系统功能需求分析

本系统主要集中在以FPGA以核心外围扩展设计,整个电路主要电子锁具的组成框图是以可编程逻辑器件(FPGA)为核心,配以相应硬件电路,完成密码的设置、存贮、识别和显示、驱动电磁执行器并检测其驱动电流值,报警等功能。

FPGA接收键入的代码,并与存贮在闪存中的密码进行比较,如果密码正确,则驱动电磁执行器开锁;如果密码不正确,则允许操作人员重新输入密码,最多可输入三次;如果三次都不正确,则通过FPGA产生报警,FPGA将每次开锁操作和此时电磁执行器的驱动电流值作为状态信息发送给监控器,同时将接收来自接口的报警信息也发送给监控器。

2.1.2系统实现方案的论证比较

方案一:

采样台湾凌阳科技有限公司推出的以凌阳自主研发的SPCE061A芯片为主控芯片,用一条下载线连接到计算机就可以实现在线仿真、在线调试、在线下载,低廉的价格保证了系统可靠开发;此外,61板具有SOC概念、DSP功能和语音特色,为电子密码锁的语音报警提供了方便,但是基于单片机设计的密码锁外围电路比较复杂,系统可靠性差,密码的数量少,尤其是系统的程序不够稳定,功率较大,需要专门的电源供电,所以不采用这个方案。

方案二:

设计一种基于FPGA的电子密码锁的设计,用FPGA设计的系统已经是现代生活中经常用到的工具之一,通过键盘输入密码,用FPGA作为主控芯片,用数码管显示输入的数字,如果出现错误便通过报警电路发出报警,主控芯片又可分为按键处理部分、控制部分和译码显示部分用电子密码锁代替传统的机械式密码锁。

由于采用的是可编程逻辑器件FPGA,使得系统有相当大的灵活性,随时可以进行硬件升级、扩展。

而且系统设计完善以后还可以将主控的FPGA固化成一片ASIC,那么这块ASIC就可以作为专用的

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1