Quartus实验步骤.docx

上传人:b****6 文档编号:6871228 上传时间:2023-01-11 格式:DOCX 页数:12 大小:520.02KB
下载 相关 举报
Quartus实验步骤.docx_第1页
第1页 / 共12页
Quartus实验步骤.docx_第2页
第2页 / 共12页
Quartus实验步骤.docx_第3页
第3页 / 共12页
Quartus实验步骤.docx_第4页
第4页 / 共12页
Quartus实验步骤.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

Quartus实验步骤.docx

《Quartus实验步骤.docx》由会员分享,可在线阅读,更多相关《Quartus实验步骤.docx(12页珍藏版)》请在冰豆网上搜索。

Quartus实验步骤.docx

Quartus实验步骤

实验一:

(数据选择器)

实验步骤:

1、E盘下面建立一个文件夹mux21a名称;

2、双击

进入QUARTUSII软件使用环境;

3、选择Flie—NewProjectWizard

4、点击NEXT,出现以下框图

点右边第一个按钮选在你刚才建立的文件路径到刚才新建的文件夹mux21a(或其他字母符号)。

(注意:

工程名要和实体名一致;工程文件夹路径不能有汉字)

5.点击Next,出现下图对话框,按照下图选择芯片;

点击两次Next,直到出现Finish

6.选在Flie—New—VHDLFlie

7.输入程序代码;

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

ENTITYmux21aIS

PORT(a,b,s:

INBIT;

y:

OUTBIT);

ENDENTITYmux21a;

ARCHITECTUREoneOFmux21aIS

BEGIN

PROCESS(a,b,s)

BEGIN

IFs='0'THENy<=a;ELSEy<=b;

ENDIF;

ENDPROCESS;

ENDARCHITECTUREone;

8.输入完毕点SAVE,保存在工程文件夹mux21a内;

9.点Processing—StartCompilation

10.下面对话框没提示正确的话;

11.点击Flie—New—other——VectorWaveformFile点ok;

12.选择View—UtilityWindons—NodeFinder(或者直接点alt+1),在弹出的对话框里面Filter里面选择Pins:

all,点击List,出现输入输出信号;

选中输入输出信号,拖拽到VectorWaveformFile里面,如下图;

13.可以关闭NodeFinder窗口;

14、设置好波形输入信号;

(注意:

只能给输入波形赋值,输出波形需要仿真得出。

具体方法:

左键单击赋值的端口,变成蓝色底色后才能进行赋值;

绘图工具栏如下图所示

 

取反

 

没有赋值

赋值0

赋值1

 

赋值时钟脉冲,改变脉冲周期,可以设置不同频率的方波。

 

可以按键盘上Ctrl,同时滑动鼠标滑轮可以改变波形横轴尺度

赋值后得到如下波形

15.点击保存按钮保存波形文件;

16、点击Assignments—settings,在弹出的对话框里的Simulationmode:

选择Functional,点击OK;

17.点击Processing—GenerateFunctionalSimulationNetlist

18、点击Processing—StartSimulation,得到仿真结果。

 

实验二:

计数器(步骤与实验一一样)

实验步骤:

5、E盘下面建立一个文件夹cnt10名称;

6、进入QUARTUSII软件使用环境;

7、选择Flie—NewProjectWizard

8、点击NEXT,出现以下框图

点右边第一个按钮选在你刚才建立的文件路径。

5.点击finish;

6.选在Flie—New—VHDLFlie

7.输入程序代码;

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitycnt10is

port(clk,rst,en:

instd_logic;

cq:

outstd_logic_vector(3downto0);

cout:

outstd_logic);

endcnt10;

architecturebehavofcnt10is

begin

process(clk,rst,en)

variablecqi:

std_logic_vector(3downto0);

begin

ifrst='1'thencqi:

=(others=>'0');

elsifclk'eventandclk='1'then

ifen='1'then

ifcqi<9thencqi:

=cqi+1;

elsecqi:

=(others=>'0');

endif;

endif;

endif;

ifcqi=9thencout<='1';

elsecout<='0';

endif;

cq<=cqi;

endprocess;

endbehav;

 

8.输入完毕点SAVE;

9.点Processing—StartCompilation

10.下面对话框没提示的话正确;

11.点击Flie—New—other——VectorWaveformFile点ok;

12.选择View—UtilityWindons—NodeFinder(或者直接点alt+1),在弹出的对话框里面Filter里面选择Pins:

all,点击List,出现输入输出信号;选中输入输出信号,拖拽到VectorWaveformFile里面,如下图;

13.可以关闭NodeFinder窗口;

14、设置好波形输入信号;按照下图设置试图波形

15.点击保存按钮保存波形文件;

16、点击Assignments—settings,在弹出的对话框里的Simulationmode:

选择Functional,点击OK;

17.点击Processing—GenerateFunctionalSimulationNetlist

18、点击Processing—StartSimulation,得到仿真结果。

再将输出的4位二进制数转换成十进制数,方便观察。

右键单击选中“CQ”下拉菜单中的最后一项

弹出对话框,作如下修改,单击确认,就可以得到最后的仿真结果。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 幼儿教育 > 幼儿读物

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1