数模电交通灯课程设计.docx

上传人:b****5 文档编号:6078358 上传时间:2023-01-03 格式:DOCX 页数:22 大小:1.26MB
下载 相关 举报
数模电交通灯课程设计.docx_第1页
第1页 / 共22页
数模电交通灯课程设计.docx_第2页
第2页 / 共22页
数模电交通灯课程设计.docx_第3页
第3页 / 共22页
数模电交通灯课程设计.docx_第4页
第4页 / 共22页
数模电交通灯课程设计.docx_第5页
第5页 / 共22页
点击查看更多>>
下载资源
资源描述

数模电交通灯课程设计.docx

《数模电交通灯课程设计.docx》由会员分享,可在线阅读,更多相关《数模电交通灯课程设计.docx(22页珍藏版)》请在冰豆网上搜索。

数模电交通灯课程设计.docx

数模电交通灯课程设计

成绩

课程设计说明书

课程设计名称:

电子技术课程设计

题目:

交通信号灯控制电路

学院:

电气与电子信息学院

学生姓名:

米晨

专业:

轨道交通信号与控制

学号:

3120130805103

指导教师:

康万新

日期:

2015年7月13日

交通信号灯控制电路

摘要:

交通信号灯系统是现在交通正常的一个非常重要的保障,一个科学的交通灯管理系统,既能尽可能的保证交通的顺畅,充分利用交通基础设施,使人们出行便利,又能保证人们的出行安全。

这次电子技术基础的课程设计的题目设计一个交通信号灯控制系统,在这里,我充分应用所学的电子技术知识,利用555定时器、74LS191计数器、74LS192计数器、74LS153数据选择器以及一些门电路设计出了题目所要求的电路,并且也取得了很好的仿真效果。

关键词:

交通灯,计数器,555定时器,译码器。

Abstract:

Trafficsignallampsystemisnownormaltrafficofaveryimportantsafeguard,ascientificmanagementsystemfortrafficlights,bothasfaraspossibletoensurethetrafficsmooth,makefulluseoftransportinfrastructure,toenablepeopletotravelconvenience,butalsotoensurethesafetyofthepeople'stravel.Theelectronictechnologycurriculumdesigntopicdesignatrafficsignallampcontrolsystem,here,Imakefulluseoftheknowledgeofelectronictechnology,using555timer,sn74ls191counter,counter74LS192,74LS153dataselectorandsomegatecircuitdesignproblemsrequiredcircuit,andalsoachievedgoodsimulationeffect.

Keywords:

Trafficlights,generator,timers,controllersanddecoders

1前言

随着社会和城市交通的快速发展,近几年机动车辆数字急剧增加,道路超负荷承载道路现象严重,致使交通事故逐年增加。

交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。

俗话说“要想富,先修路”,但路修好了如果在交通控制方面做不好道路还是无法保障畅通安全。

作为交通控制的重要组成部份的交通信号灯也应国际化。

随着社会经济的发展,城市交通问题越来越引起人们的关注。

人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。

城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。

随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况。

因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。

然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。

而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。

所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。

 

可见交通灯是城市交通有序、安全、快速运行的重要保障,因此解决好公路交通信号灯控制问题也成了保障交通有序、安全、快速运行的重要环节。

本设计是一款基于单片机AT89C51为控制核心的交通控制系统,它可以实现对车辆、行人的有效导引。

设计中我们选用红、绿、黄三种不同LED发光管作为车辆和行人的指示,简化了设计,形象直观;采用LED数码管作为倒计时显示,可靠性高、抗干扰能力强。

该系统还可根据交通拥挤情况可以设置主干道的通行时间,以提高效率,缓减交通拥挤;当出现紧急情况时,交警可将系统设置成手动,让某路口车辆通行,此路口行人禁行,紧急情况结束后再转成自动状态。

本设计并对系统物理结构进行了优化,很有城市交通道口的“模型”味。

 

1.1设计背景

随着中国加入WTO,我们不但要在经济、文化、科技等各方面与国际接轨,在交通控制方面也应与国际接轨。

随着社会经济的发展,城市交通问题越来越引起人们的关注。

因此,一个好的交通灯控制系统,将给道路拥挤、违章控制等方面给予技术革新。

1.2设计目标

通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口四组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行,黄灯亮时,已越过停止线的车辆可以继续通行,红灯亮时,禁止车辆通行。

1.3实施计划

第一阶段:

查找资料,了解设计所需元器件及其管脚的功能

第二阶段:

测试各元件能否实现对应的功能,并筛选出对应所需的元件,确定设计方案

第三阶段:

为交通灯设计计时模块,显示模块,状态转换模块,并预置各模块的初始值

第四阶段:

调整结构并连接各模块,用protues仿真通过,绘制PCB图。

第五阶段:

完善相应设计的打印报告。

1.4必备条件

数电模电等专业知识、Proteus软件、计算机。

2总体方案设计

通过查阅大量相关技术资料,并结合自己的实际知识,我主要提出了三种技术方案来实现系统功能。

下面我将首先对这三种方案的组成框图和实现原理分别进行说明,并分析比较它们的特点,然后阐述我最终选择方案的原因。

2.1方案比较

2.1.1方案一利用单片机技术实现交通信号灯控制

方案一:

原理框图如图2-1所示。

图2-1方案一的原理框图

本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。

本系统实用性强、操作简单、扩展功能强。

2.1.2方案二:

利用数模电知识来实现交通信号灯控制

方案二原理框图如图2-2所示。

图2-2方案二的原理框图

如电路的结构框图所示,整个电路通过启动脉冲启动,电路中要实现20,05,30,05倒计时计数及交通灯的红绿黄的转换,如何转换通过状态计数器控制,一共4个状态分别控制4个置数使倒计时计算器计数及显示时间,交通灯的显示是通过状态计数来控制的,这样就实现了整个设计的要求。

2.2方案论证

这两个方案都可以用于交通灯控制器的设计。

但从所学的知识来说,单片机我们还未接触,不甚了解,对于熟悉单片机编程的人来说可以简单快捷的设计出所需设计,可是我不是很了解单片机,所以不适合用这个方案。

方案二恰好涉及了我们所学的数模电知识,通过设计过程中,我们正好利用所掌握的知识来对电路进行分析理解。

2.3方案选择

根据方案论证,我选择方案二实现交通信号灯控制。

3单元模块设计

本节主要介绍系统各单元模块的具体功能、电路结构、工作原理、以及各个单元模块之间的联接关系;同时本节也会对相关电路中的参数计算、元器件选择、以及核心器件进行必要说明。

3.1各单元模块功能介绍及电路设计

3.1.1脉冲发生器模块设计

秒脉冲发生器由NE555电路及外围电路组成,其中R2=10K、R3=100K,C2=10uF的电阻电容值决定了脉冲宽度。

使T=(R2+2R3)C1ln2当T=1S,即可凑出R2、R3、C2其中C1=0.01uF是为了保持输出的波形的稳定。

如图3-1所示,R2=10K、C2=10uF组成一个串联RC充放电电路,在NE555的7脚上输出一个方波信号,C3上得到一个三角波。

此三角波送到NE555的2脚输入端。

由NE555内部的比较器和门电路共同作用,维持7脚上的方波信号和3脚上的输出方波

图3-1秒脉冲发生器原理图

3.1.2倒计时计数器(74LS192)

74LS192的功能说明:

74LS192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下图示。

图3-274LS192

图中

为置数端;UP为加计数端;DP为减计数端;TCU为非同步进位输出端;TCD为非同步借位输出端;D0、D1、D2和D3为计数器输入端;Q0、Q1、Q2和Q3为数据输出端;MR为清零端。

74LS192的功能表见下表,说明如下:

表3-1

当清零端MR为高电平“1”时,计数器直接清零;当MR轩低电平则执行其他功能。

当MR为低电平且置数端

也为低电平时,数据直接从置数端D0、D1、D2和D3置入计数器。

当MR为低电平且

为高电平时,执行计数功能。

执行加计数时,减计数端DP接高电平,计数脉冲由UP输入;在计数脉冲上升沿时行8421码十进制加法计数。

执行减计数时,加计数端UP接高电平,计数脉冲由减计数端DP输入。

下表为8421码十进制加、减计数器的状态转换表。

 

表3-1

用74LS192实现倒计时计算器:

1、个位计数器DN接秒脉冲是一个十进制的减计数器,它的进位信号TCD做为十位计数器的脉冲,这样就构成了2位十进制的减计数器。

2、要想实现20,05,30,05计数的循环就要在适当的时候给计数器置数,使

为低电平时实现置数,在置数时要接上拉电阻及MR接地才能正常工作。

3.1.3状态计数器(74LS192)

用74LS192构成的状态计时器的电路图如3-3

图3-3状态计数器

1、状态计数器的脉冲用的是加计数的脉冲端UP,它的脉冲是由倒计时计算器的输出控制的,当二个倒计时计算器的输出都为0时,UP为0,当不都为0是UP为1,发生上升沿使状态计数器加1。

2、考虑到要实现20,05,30,05需要4个状态,因此给4个状态分别控制20,05,30,05的置数,当计数器为0100是置为0000。

3.1.4状态置数控制

1、根据真值表可以写出十位计数器的置位端D3D2D1D0及个位计数器的置位端D3’D2’D1’D0’的逻辑关系:

D3=D2=D3’=D1’=Q2;D1=

;D0=

Q1;D2’=D0’=Q0;

2、通过非门和与门将状态译码为倒计时计算器需要的置数,使实现置数20,05,30,05的控制。

图3-4

3.1.5译码器电路模块

交通灯的显示译码

1、灯亮是一个比较长期的过程而置数是一瞬间的事情,因此灯亮要在置数的下一个状态,根据真值表可以写出灯的逻辑表达式:

L=G’=

Q0;G=L’=Q1Q0;Y=Y’=

图3-5译码电路模块

3.1.6倒计时和交通灯显示部分模块

BCD码七段译码驱动器

BCD码七段译码器型号有74LS47(共阳)、74LS48(共阴)、CC4511(共阴)等,本设计系采用4511BCD码锁存/七段译码/驱动器,并驱动共阴极LED数码管。

下图为4511引脚排列。

图3-6

其中:

A、B、C、D为BCD码输入端;

QA、QB、QC、QD、QE、QF、QG为译码输出端,输出“1”有效,用来驱动共阴极LED数码管;

为测试输入端,当

=“0”时,译码输出全为“1”;

为消隐输入端,当

=“0”时,译码输出全为“0”;

LE为锁定端,当LE=“1”时,译码器处于锁定(保持)状态,译码器输出并保持在LE=0时的数值,面LE=0为正常译码。

下表为4511功能表。

4511内接有上拉电阻,故只需要在输出端与数码管笔段之间串入限流电阻即可工作。

译码器还有拒伪码功能,当输入码超过1001时,输出全为“0”,数码管熄灭。

图3-7七段显示译码器74HC4511功能表

1、个位和十位的计数器的输出接七段显示译码器,根据译码器4511的功能表将

接高电平,LE接地使译码器正常工作,译码器的输出接七段数码管显示时间。

2、交通灯的显示:

令A路为南北路,B路为东西路;A路的红灯和B路的绿灯同时显示,A路的绿灯和B路的红灯同时显示,所以的黄灯同时显示,根据此要求接好电路如图所示:

图3-8交通灯

3.2特殊器件的介绍

3.2.1器件介绍

74LS192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下图示。

(图3-9、3-10)

图3-974LS192逻辑符号及功能表

图3-1074LS192时序图分析

3.2.2NE555器件介绍

NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率之脉冲信号。

引脚图如图3-8所示:

图3-8NE555引脚图

NE555功能特点有:

1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。

其延时范围极广,可由几微秒至几小时之久。

2.它的操作电源电压范围极大,可与TTL,CMOS等逻辑电路配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。

3.其输出端的供给电流大,可直接推动多种自动控制的负载。

4.它的计时精确度高、温度稳定度佳,且价格便宜。

B.引脚说明及相应参数:

Pin1(接地)-地线(或共同接地),通常被连接到电路共同接地。

Pin2(触发点)-这个脚位是触发NE555使其启动它的时间周期。

触发信号上缘电压须大于2/3VCC,下缘须低于1/3VCC。

Pin3(输出)-当时间周期开始555的输出输出脚位,移至比电源电压少1.7伏的高电位。

周期的结束输出回到O伏左右的低电位。

于高电位时的最大输出电流大约200mA。

Pin4(重置)-一个低逻辑电位送至这个脚位时会重置定时器和使输出回到一个低电位。

它通常被接到正电源或忽略不用。

Pin5(控制)-这个接脚准许由外部电压改变触发和闸限电压。

当计时器经营在稳定或振荡的运作方式下,这输入能用来改变或调整输出频率。

Pin6(重置锁定)-Pin6重置锁定并使输出呈低态。

当这个接脚的电压从1/3VCC电压以下移至2/3VCC以上时启动这个动作。

Pin7(放电)-这个接脚和主要的输出接脚有相同的电流输出能力,当输出为ON时为LOW,对地为低阻抗,当输出为OFF时为HIGH,对地为高阻抗。

Pin8(V+)-这是555个计时器IC的正电源电压端。

供应电压的范围是+4.5伏特(最小值)至+16伏特(最大值)。

3.2.3七段发光二极管器件介绍

七段发光二极管是数码管的一种,根据接法不同分为共阴和共阳两类,了解其特性,对编程是很重要的,因为不同类型的数码管,除了它们的硬件电路有差异外,编程方法也是不同的。

下图左边是共阴和共阳极数码管的内部电路,它们的发光原理是一样的,只是它们的电源极性不同而已。

其结构如图3-9示。

图3-9七段发光二极管结构

将多只发光二极管(LED)的阴极连在一起即为共阴式,而将多只发光二极管的阳极连在一起即为共阳式。

3.2.44511BCD码锁存/七段译码/驱动器

详细功能介绍见3.1.6

图3-104511BCD译码器

其端口3为试灯输入信号,用来检查数码管好坏。

端口5为锁存使能输入。

端口4为灭灯输出信号,该端既可以作输入也可以作为输出。

4系统调试

4.1调试环境

Proteus软件是来自英国LabcenterElectronics公司的EDA工具软件,Proteus软件除了其具有和其它EDA工具一样的原理布图,PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器,逻辑分析仪等,不需要别的,Proteus为建立了完备的电子设计开发环境。

具有4大功能模块。

◆智能原理图设计(ISIS)丰富的器件库

超过27000种元器件,可方便地创建新元件;智能的器件搜索:

通过模糊搜索可以快速定位所需要的器件;智能化的连线功能:

自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:

使用总线器件和总线布线使电路设计简明清晰;可输出高质量图纸。

◆ProSPICE混合仿真:

基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:

可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件。

  

◆独特的单片机协同仿真功能

支持主流的CPU类型:

如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、等。

◆实用的PCB设计平台

先进的自动布局/布线功能;支持器件的自动/人工布局;支持无网格自动布线或人工布线;支持引脚交换/门交换功能使PCB设计更为合理;完整的PCB设计功能;可以输出多种格式文件。

该软件的主要特点是:

①集原理图设计、仿真分析(ISIS)和印刷电路板设计(ARES)于一身。

可以完成从绘制原理图、仿真分析到生成印刷电路板图的整个硬件开发过程。

②提供几千种电子元件(分立元件和集成电路、模拟和数字电路、仿真模型和外形封装。

③支持大多数单片机系统以及各种外围芯片(RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真等)的仿真。

④提供各种虚拟仪器,如各种测量仪表、示波器、逻辑分析仪、信号发生器等。

过去需要昂贵的电子仪器设备、繁多的电子元件才能完成的电子电路、单片机等实验,现在只要一台电脑,都可在该软件环境下快速轻松地实现。

4.2硬件调试

调试最先开始的是关键的脉冲发生器,我们通过理论计算与对仿真环境相关的电阻和电容参数进行了调试,以达到满意的方波信号。

图4-1是对3脚输出的秒脉冲信号的示波器观测。

图4-1秒脉冲电路仿真图

通过调整电阻值,得到理想的方波并取出一个周期的起始时刻,算出实际频率,并与理论计算值进行比较可得:

f计算=0.98HZ,f测=0.94HZ。

误差在可接受范围,设计可行。

测量频率贴近1Hz,说明该秒脉冲发生器能够基本实现发出周期为1s的脉冲功能。

5

系统功能、指标参数

本节主要介绍交通灯控制器的相关功能及参数。

5.1系统能实现的功能

本系统可完成交通灯控制十字路口交通的功能。

开始数码管从0秒开始计数,同时绿灯亮;当计时从20秒到0秒后;数码管从5秒开始倒计时到0秒,此时黄灯亮;同期,另一条马路的红灯亮,然后换马路同样的亮灯方法,如此循环。

5.2系统指标参数测试

首先先秒脉冲发生器见图4-1,图中C1=0.01UF,C2=10UF。

倒计时预置数,通过秒脉冲源给倒计数器发送秒脉冲,倒计时器开始倒计时,驱动时间显示器显示,并且交通灯也正常运行,当倒计时器计到5s时,我们当然同时可以在时间显示器上看到,这时倒计时器驱动黄灯控制器,使正在亮绿灯方向的黄灯闪烁,当倒计时器计到0时,驱动计数进制转换器,使倒计时器预置为另一个进制,并同时控制和改变交通灯的显示,其实就是计数进制转换器既可以完成进制转换,也同时充当了交通灯的转换功能.如此往复循环. 

最后利用门电路或者译码器实现交通灯信号转换功能。

6

结论

本设计控制器应该由秒脉冲发生器、计数器、译码器、显示器、译码驱动电路及信号灯等7部分组成 

秒脉冲发生器,用555定时基电路,只需简单的电阻器、电容器,即可完成特定的振荡延时作用。

其延时范围极广,可由几微秒至几小时之久。

然后由计数器的Q端得到频率为1Hz的秒脉冲信号,用该脉冲作为减法计数器的计数脉冲。

 

由两片192组成的减法计数器也实现它实现的功能,能够正常实现每条道路上每次通行时间为20S. 在每次变换通行车道之前,要求黄灯先亮5S,才能变换通行车道。

能正常的、即时显示功能。

 

用简单的数字电路实验交通灯的控制,这是一大成功之举!

 

7

总结与体会

通过这次课程设计,加强了我思考和解决问题还有动手的能力。

现在设计已经做好了,自己感觉还是比较好的,虽然花了很多的时间,但学到了很多东西。

做课程设计的时候,自己把整个书本都看了几遍,增强了自己对知识的理解,很多以前不是很懂的问题现在都已经一一解决了。

 

在课程设计的过程中,我想了很多种方案,对同一个问题(像计数器的接法)都想了很多种不同的接法,运用不同的芯片进行了比较,最后还是采取了上面的方法进行连接。

从开始做课程设计那天起,脑中天天都想着同样的问题,怎么去把电路弄得更加简单,怎么别人更容易看懂。

但似乎时间过得真的很快,我用了好几天才把它完全弄完,完成后,心里有一种说不出的高兴。

在设计过程中遇到很多麻烦,但我都上网查资料,去图书馆找参考书,努力地去解决所遇到的问题。

 比如遇到软件中芯片与所学课本中不对应,我会用其他的代替以实现相同的功能。

 

  这次课程设计使我明白了,做任何事情都要认真仔细,不然的话,你会花更多的时间才会做好。

课程设计有利于提高我们的动手能力,能把我们所学的书本知识运用到实际生活中去。

同时也丰富了我们的业余生活,提高我们对知识的理解能力。

 

谢辞

通过这一阶段的努力,我的课程设计终于完成了,这意味着大学二年级生活即将结束。

在此阶段,我在学习上和思想上都受益非浅,这除了自身的努力外,与各位老师同学和朋友的关心、支持和鼓励是分不开的。

在本课程设计的完成过程中,我的指导老师康万新老师倾注了大量的心血,从选题到开题报告,从写作提纲,到一遍又一遍地指出每稿中的具体问题,严格把关,循循善诱,在此我表示衷心感谢。

同时我还要感谢在我学习期间给我极大关心和支持的各位老师以及关心我的同学和朋友。

由于时间的仓促及自身专业水平的不足,整个课程设计肯定存在尚未发现的缺点和错误。

恳请阅读此篇说明书的老师、同学,多予指正,不胜感激!

8参考文献

[1]康华光,电子技术基础(第五版)数字部分,高等教育出版社,2006.1

[2]谢自美,电子线路设计实验测试(第二版),华中科技大学出版社,2000.7

[3]何小艇,电子系统设计(第三版),浙江大学出版社,2004.8

[4]张晓东,新颖实用电子制作[M].科技出版社,2005

[5]肖景和,CMOS数字电路应用300例,中国电力出版社,2005.1

[6]李银华,电子线路设计指导,北京航空航天大学出版社,2005.6

[7]梅开乡,电子电路设计与制作,北京理工大学出版社,2

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1