00开关电源模块并联供电系统A题整体报告完整版.docx

上传人:b****5 文档编号:5730839 上传时间:2022-12-31 格式:DOCX 页数:15 大小:209.74KB
下载 相关 举报
00开关电源模块并联供电系统A题整体报告完整版.docx_第1页
第1页 / 共15页
00开关电源模块并联供电系统A题整体报告完整版.docx_第2页
第2页 / 共15页
00开关电源模块并联供电系统A题整体报告完整版.docx_第3页
第3页 / 共15页
00开关电源模块并联供电系统A题整体报告完整版.docx_第4页
第4页 / 共15页
00开关电源模块并联供电系统A题整体报告完整版.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

00开关电源模块并联供电系统A题整体报告完整版.docx

《00开关电源模块并联供电系统A题整体报告完整版.docx》由会员分享,可在线阅读,更多相关《00开关电源模块并联供电系统A题整体报告完整版.docx(15页珍藏版)》请在冰豆网上搜索。

00开关电源模块并联供电系统A题整体报告完整版.docx

00开关电源模块并联供电系统A题整体报告完整版

开关电源模块并联供电系统报告

摘要:

本装置由2个BUCK电路构成的DC-DC模块、PWM控制模块和单片机控制模块、过流保护模块等4个部分组成。

2个BUCK电路分别构成恒压源和恒流源。

恒流源控制一条并联支路的电流维持恒定,恒压源控制输出电压恒定在8V,并提供分流部分电流,从而实现并联开关电源任意比例的均流。

软件根据检测的输出总电流及预置的比例系数改变恒流源的给定量,并通过实时监测负载电流实现4.5A阈值过流保护功能。

本系统实现了两个额定输出功率均为16W的8VDC/DC模块构成的并联供电系统,且能在一定输出电流范围内对两个DC-DC模块按指定的比例自动分配电流,供电系统的效率超过60%,完全满足题目基本和发挥部分的要求。

关键词:

并联供电、均流、BUCK斩波电路、恒压源、恒流源

一、系统方案

1、方案设计与论证

1)DC-DC转换方案论证与实现

鉴于此系统输入为直流电24V,输出为直流电8V。

故采用降压斩波电路。

方案一:

采用TI公司的PWM控制芯片TL494,驱动P沟道MOS管IRF9630。

TL494内部集成两个误差放大器,通过反馈能对PWM信号的占空比进行调节,内部自带5V基准,能够对输出电压实现精确控制。

方案二:

采用TI公司的集成芯片TPS5430。

该芯片内部集成110mΩ的MOS开关管,效率高达95%,输出电流最高3A,能够满足题目的要求。

该芯片固定为500KHz开关频率,可以采用较小的滤波电容、电感消除纹波。

而且此芯片只需要配合少许外部元件便可精确、稳定地得到输出电压。

由于本电路每个DC-DC模块要达到的额定功率为16W,电流工作范围为0.5A~2A,而TPS5430的开关管集成在芯片内部,不利于散热,因此我们采用TL494驱动P沟道MOS管的方案。

2)均流控制方案论证与实现

方案一:

输出阻抗法即Droop(下垂,倾斜)法调节开关变换器的外特性倾斜度(即调节输出阻抗),以达到并联模块接近均流的目的。

这种方法是一种简单的大致均流的方法,精度比较低。

下垂法的特性曲线如下图所示。

图1、下垂法及特性曲线

方案二:

最大电流均流法(自主均流法)。

该方案采用Load-sharecontroller(负载共享控制器)UCC29002实现。

在DC-DC模块正常工作时,将两路UCC29002的均流母线连接,此时UCC29002将会自动选出电流最大的一路,并将此路电源作为主电源。

均流母线上的电压将由主电源的输出电流决定,从电源的UCC29002接收到母线上的信号后,会控制该路DC-DC模块稍稍提高输出电压。

通过减小从电源与主电源的电压差来提高该路输出电流,从而达到均流。

并且该方案可通过十分简单的电路完成任意路并联均流,且支持热插拔。

图2、UCC29002内部控制框图

方案三:

主从均流法。

主从法的均流思想是在并联电源系统中,人为的指定一个模块为主模块,直接连接到均流母线,其余的为从模块,从母线上获取均流信号主模块工作于电压源方式,从模块的误差电压放大器接成跟随器的形式,工作于电流源方式。

因为系统在统一的误差电压下调整,模块的输出电流与误差电压成正比,所以不管负载电流如何变化,各模块的电流总是相等。

采用这种均流法,精度很高,控制结构简单,模块间联线少,易于拓展为多路。

缺点是一旦主模块出现故障,整个系统将瘫痪。

方案一可实现近似均流,但精度难以达到题目要求。

而方案二采用UCC29002的不均流度最佳小于1%,能较好的满足题目要求。

综合考虑对于本系统只有两路电源,而且又采用DC/DC芯片设计,系统不确定因素少,所以可靠性很高,又主从均流法精度很高,设计简单,成本低,所以我们采用方案三实现均流。

3)、电流检测电路方案论证与实现

方案一:

采用精密仪表放大器INA128采样电流信号,经放大后经AD采样换算成相应的电流值。

INA128为低功耗精密仪表放大器,采样电流的线性度较好,其差分输入方式可以在一定程度上消除共模干扰。

但是INA128必须双电源供电,而系统要求所有的供电都由+24V电源提供。

方案二:

采用专用的高侧电流监视器INA168,其输入共模电压可以高达+60V,当康铜丝选取为0.1欧时,可以测量0~5A以内的电流,能够+5V单电源供电,它的输出方式为电流,我们只需接一个采样电阻就可以转换为电压。

因此我们采用方案二。

4)、过流保护电路方案论证与实现

方案一:

采用硬件过流保护功能,当检测到的电流值超过4.5A的短路阈值电流时,比较器输出高电平切断继电器,当电流值低于4.5A时,继电器又吸合,电路恢复工作。

但是为了避免继电器频繁切换,必须经过一定的延时后再控制继电器吸合,因此增加了电路的复杂性。

方案二:

采用软件过流保护功能,电流检测值超过4.5A时单片机控制继电器断开,经一定的软件延时后再控制继电器吸合。

延时时间可以由软件任意设定,增加了控制的灵活性。

因此我们选用方案二。

2、系统方案描述

本系统通过PWM控制与驱动芯片TL494驱动P沟道MOS管IRF9630构成BUCK斩波电路进行DC-DC转换。

系统对负载的电压进行采样并运用反馈调节的方式控制BUCK电路1实现恒压,从而稳定输出电压;对流过BUCK电路2的电流进行采样并运用反馈调节方式控制其实现恒流;同时单片机对负载电流进行采样按分流比例计算后通过DA控制BUCK电路2,从而实现按比例分流。

并实时监测负载的电流,实现4.5A短路保护功能。

整体系统框图如图1。

图3系统整体框图

二、理论分析与计算

本系统采用TL494驱动P沟道MOS管构成的BUCK电路,其电容电感参数计算如下。

1、电感L的确定

在连续和不连续之间有个临界状态,此时

将上式整理得:

要保证电路工作在连续工作模式必须使

,一般取1.2倍的裕量。

(2)电容C的确定

流经电容的电流

是(

),由于

对电容的充放电产生的纹波电压

于是

开关管的峰值电流为:

开关管的耐压值为:

根据拟定技术指标:

输入电压:

;输出电压:

;输出电流

由上述公式推导可得:

取500uH;C取4700uF/50V。

三、电路及程序设计

1、电路设计

(1)TL494驱动的BUCK电路设计

采用TL494驱动P沟道MOS管IRF9630(电路如图4所示),将输出电压经分压网络后连接到反馈端与基准值比较得到恒压模式,将电流经高侧电流监视器INA168采样转换为一定比例的电压信号连接到反馈端与基准值比较得到恒流模式。

电压模式输出固定为8V,通过DA给定用来控制恒流模块得到设定的电流值。

图4、TL494驱动的BUCK电路设计图5、INA168电流检测电路

(2)INA168电流检测电路

采用高侧电流监视器INA168对电流进行高侧采样(电路如图5所示),INA168输出电压Vo=Is*RS*RL/5kΩ(RS为电流采样电阻,RL为VOUT侧下拉电阻),Rs我们采用0.1欧的康铜丝,RL取51K,则电流放大系数约为10倍,INA168输出经轨对轨运放OPA350跟随,两个芯片均由+5V单电源供电。

2、程序设计

系统初始化默认的分流比为1:

1,按键输入设定的分流比,单片机通过AD采样换算得到总的实际电流值,根据设定的分流比率算出恒流源理论输出电流值,通过DA输出到TL494的反馈端使其得到相应的电流值,在负载不变的情况下,输出电压由恒压模块维持8V基本不变,则输出总电流也不会变,负载其余电流则自动的由恒压源部分提供,这样就实现了在一定输出电流范围内分流比的任意设定。

系统软件流程图如图6所示。

图6、系统软件流程图

四、测试方案与测试结果

1、测试使用仪器及型号

仪器名称

型号

直流稳压电源

WYK-323B2

万用表

MAS830L

五位半台式数字万用表

VICTOR8155

示波器

TDS1002

2、测试方案及结果

(1)、基本部分指标测试及测试结果

1、调整负载电阻至额定输出功率工作状态,即Io=4A时,测得供电系统的直流输出电压为Uo=8.01V,满足要求。

2、额定输出功率工作状态下,测得输入电压电流、输出电压电流值分别为:

UIN、IIN、I1、I2、IO、UO、供电系统的效率:

UIN=24V,IIN=2.13A;Uo=8.0V,Io=3.96A

效率=Uo*Io/UIN*IIN=8*3.96/(24*2.13)=62%;基本满足要求。

3、两个模块输出电流之和IO=1.0A且按I1:

I2=1:

1模式自动分配电流,每个模块的输出电流值,参数如表1所示。

4、调整负载电阻,保持输出电压UO=8.0±0.4V,使两个模块输出电流之和IO=1.5A且按I1:

I2=1:

2模式自动分配电流时每个模块的输出电流值。

参数如表1所示。

表1、输出电流比为I1:

I2=1:

1和I1:

I2=1:

2时的分流精度测试

比例系数I1:

I2

输出电压UO(V)

通过支路1

的电流I1(A)

输出电流I1的相对误差

通过支路2的电流I2(A)

输出电流I2的相对误差

1:

1(负载电流IO=1.0A时)

8.01

0.52

4%

0.510

2%

1:

2(负载电流IO=1.5A时)

8.01

0.507

1.4%

0.997

0.3%

(2)、发挥部分指标测试及测试结果

5、调整负载电阻,保持输出电压UO=8.0±0.4V,使负载电流IO在1.5~3.5A

之间变化时,两个模块的输出电流可在(0.5~2.0)范围内按指定的比例自动

分配,测得按指定的比例自动分配电流时各模块电流如下表所示。

其中

输出电流相对误差=|输出理论值-实际测量值|/输出理论值

表2.1两个模块的输出电流I1:

I2=1.5相应测试电流值

实际测量值

输出理论值

输出电流

相对误差

实际测量值

输出理论值

输出电流相对误差

输出电压UO(V)

8.01

\

\

8.0

\

\

输出总电流Io(A)

2.699

\

\

3.537

\

\

支路1的电流I1(A)

1.553

1.6194

4.1%

2.045

2.122

3.6%

支路2的电流I2(A)

1.146

1.0796

6.2%

1.492

1.415

5.4%

表2.2两个模块的输出电流I1:

I2=2.0相应测试电流值

实际电流测量值

输出电流理论值

输出电流

相对误差

实际电流测量值

输出电流理论值

输出电流

相对误差

输出电压UO(V)

7.98

\

\

8.01

\

\

输出总电流Io(A)

2.691

\

\

1.5A

\

\

支路1的电流I1(A)

1.711

1.794

4.6%

0.978

1.0

2.2%

支路2的电流I2(A)

0.980

0.897

9.3%

0.52

0.5

4.0%

6、调整负载电阻,保持输出电压UO=8.0±0.4V,使两个模块输出电流之和IO=4.0A且按I1:

I2=1:

1模式自动分配电流,每个模块的输出电流如表3所示。

表3输出电流之和IO=4.0A且按I1:

I2=1:

1模式自动分配电流时测试电流值

实际电流测量值(A)

输出电流理论值(A)

输出电流相对误差

输出总电流IO(A)

3.98

\

\

通过支路1的电流I1(A)

2.08

1.99

4.5%

通过支路2的电流I2(A)

1.91

1.99

4.0%

7、负载短路保护及自动恢复功能测试

短路保护动作电流值:

动作电流I=4.51A,满足题目要求。

3、测试结果误差分析

测试结果表明分流精度存在一定的误差,电感工作不是很稳定,额定工作状态下系统效率勉强达到测试指标。

分析原因可能是由于电路参数(滤波电感、电容、开关管)取得不合适,电路布局不够合理,引入较大干扰,导致电流测量不精确,进而无法精确控制分流比。

针对上述问题,可以提出以下几个改进的方法:

(1)BUCK电路布局可以更紧凑一些以减少干扰(2)采用滤波电路或是软件滤波算法滤除电流误差信号,以使电流测量更精确,进而提高分流精度。

五.参考文献

(1)王兆安,黄俊.电力电子技术(第四版).机械工业出版社.2000

(2)童诗白,华成英.模拟电子技术基础(第四版).高等教育出版社.2006

(3)阎石.数字电子技术基础(第五版).高等教育出版社.2006

(4)谭浩强.C程序设计(第三版).清华大学出版社.2005

附录

1、开关电源并联供电整体电路图

图7、开关电源并联供电整体电路图

2、继电器过流保护电路

图8、继电器过流保护电路

我们选用继电器的常闭端口,高电平时触头不动作,电路正常工作,一旦采样到过流信号,则给继电器低电平信号控制触头断开。

选择合适的电阻可以减少继电器部分的额外功耗。

3、AD电压采样电路

图9、ADS8344电压采样电路

AD采样部分我们采用TI公司的16位,8通道,串行AD——ADS8344,用它采样电压可以达到很高的精度,同时其串行输出方式可以节省单片机端口。

输入端加OPA350跟随,以增加其输入阻抗。

4、DA给定电路

DA给定部分我们采用TI公司的12位并行DA—DAC7621,内部自带基准源,可以单电源+5V供电,直接输出正电压,不需外加运放进行电流-电压转换。

图10、DAC7621电压给定电路

5、完整测试数据

表4.1两个模块的输出电流I1:

I2=0.5时相应测试电流值

负载电流IO(A)

输出电压UO(V)

8.01

8.01

7.99

7.98

总电流IO

1.505

2.033

2.478

2.983

通过支路1的电流I1(A)

0.540

0.712

0.869

1.042

通过支路2的电流I2(A)

0.970

1.330

1.623

1.965

表4.2两个模块的输出电流I1:

I2=1.0相应测试电流值

负载电流IO(A)

输出总电流IO(A)

1.534

1.953

2.676

3.499

通过支路1的电流I1(A)

0.763

0.960

1.327

1.730

通过支路2的电流I2(A)

0.777

1.001

1.365

1.804

表4.3两个模块的输出电流I1:

I2=1.5相应测试电流值

实际测量值

输出理论值

输出电流

相对误差

实际测量值

输出理论值

输出电流

相对误差

输出电压UO(V)

8.01V

\

\

8.0V

\

\

输出总电流(A)

2.699

\

\

3.537

\

\

支路1的电流I1(A)

1.553

1.6194

4.1%

2.045

2.122

3.6%

支路2的电流I2(A)

1.146

1.0796

6.2%

1.492

1.415

5.4%

表4.4两个模块的输出电流I1:

I2=2.0相应测试电流值

实际测量值

输出理论值

输出电流

相对误差

实际测量值

输出理论值

输出电流

相对误差

输出电压UO(V)

7.98V

\

\

8.01V

\

\

输出总电流(A)

2.691

\

\

1.5A

\

\

支路1的电流I1(A)

1.711

1.794

4.6%

0.978

1.0

2.2%

支路2的电流I2(A)

0.980

0.897

9.3%

0.52

0.5

4.0%

表4.5两个模块的输出电流I1:

I2=4.0相应测试电流值

实际测量值

输出理论值

输出电流相对误差

输出电压UO(V)

8.01V

\

\

输出总电流(A)

2.72A

\

\

通过支路1的电流I1(A)

2.033

2.176

6.6%

通过支路2的电流I2(A)

0.687

0.544

26.2%

6、调整负载电阻,保持输出电压UO=8.0±0.4V,使两个模块输出电流之和IO=4.0A且按I1:

I2=1:

1模式自动分配电流,每个模块的输出电流如表3所示。

表5输出电流之和IO=4.0A且按I1:

I2=1:

1模式自动分配电流时测试电流值

实际测量值

输出理论值

输出电流相对误差

输出总电流IO(A)

3.98

\

\

通过支路1的电流I1(A)

2.08

1.99

4.5%

通过支路2的电流I2(A)

1.91

1.99

4.0%

7、负载短路保护及自动恢复功能测试

短路保护动作电流值:

动作电流I=4.51A,满足题目要求。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 职业教育 > 中职中专

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1