南京理工大学EDA2实验报告.docx

上传人:b****5 文档编号:5326727 上传时间:2022-12-15 格式:DOCX 页数:22 大小:631.46KB
下载 相关 举报
南京理工大学EDA2实验报告.docx_第1页
第1页 / 共22页
南京理工大学EDA2实验报告.docx_第2页
第2页 / 共22页
南京理工大学EDA2实验报告.docx_第3页
第3页 / 共22页
南京理工大学EDA2实验报告.docx_第4页
第4页 / 共22页
南京理工大学EDA2实验报告.docx_第5页
第5页 / 共22页
点击查看更多>>
下载资源
资源描述

南京理工大学EDA2实验报告.docx

《南京理工大学EDA2实验报告.docx》由会员分享,可在线阅读,更多相关《南京理工大学EDA2实验报告.docx(22页珍藏版)》请在冰豆网上搜索。

南京理工大学EDA2实验报告.docx

南京理工大学EDA2实验报告

南京理工大学

EDA

(二)实验报告

学号:

姓名:

学院:

指导老师:

时间:

2014年11月30日

 

摘要:

本实验通过使用QuartusⅡ软件,并结合数字逻辑电路的知识设计多功能数字钟,可以实现正常的时、分、秒的计数功能,分别由六个数码管显示计时,可以利用开关实现系统的计时保持、清零和校分、校时、校星期的功能。

同时,该电路系统还可以完成在59'53'',59'55'',59'57''低音报时,59'59''高音报时的基本功能。

在此基础上,本实验还设计了扩展功能,包括星期计时、校星期以及通过开关与门电路切换到秒表计时的功能。

我原本还尝试设计闹钟的功能,但是闹钟的扩展功能还不够完善,目前完成了切换显示部分,但是报时还存在缺陷。

在利用QuartusⅡ进行相应的设计、仿真、调试后下载到SmartSOPC实验系统上验证设计的正确性。

关键词:

QuartusII,数字钟,分频,计时显示,保持清零,校分校时校星期,报时,星期计数,秒表

Abstract:

ThisexperimentisbasedonQuartusⅡ,withthehelpofknowledgeregardingthedigitallogiccircuitsandsystemdesign,todesignamultifunctionaldigitalclock.Thebasicfunctionofthemultifunctionaldigitalclockisa24-hourtimer,andtheexacttimecanbeshowedbysixledlights.Alsowecanachievethefunctionsliketimekeeping,clearingandtimeandweekadjustingbyusingtheswitches.Beyondthebasicfunction,Iimprovedthemultifunctionaldigitalclockanditcanbeepinlowfrequencyat59'53'',59'55'',59'57''andinhighfrequencyat59'59''.Basedonthisthebasicdesign,Ialsodesignextrafunctions,includingweektimer,week-timeadustingandthestopwatchwhichcanbeexchangedbyusingtheswitchsandseveralcircuitsoflogicanddoors.AlsoIintendedtodesignthealarmclock.,butunfortunately,theextrafunctionofalarmclockisnotperfect.Currently,Ijusthavefinishedthefunctionscontainingthepartsofexchangeanddisplay.Butthepartofbeepingstillneedsimproved.AllthedesigningandsimulatingworkarebasedonQuartusⅡ.Afteralltheworkfinishedoncomputer,IdownloadedthefinalcircuittoSmartSOPCexperimentsystemtotesttheaccuracyofthedesign.

Keywords:

QuartusⅡ,digitalclock,reckonbytimeanddisplay,timekeepingandclearing,timeadjusting,chiming,weektimer,stopwatch

 

 

一、题目简介

设计一个数字计时器,可以完成00:

00:

00到23:

59:

59的计时功能,并在控制电路的作用并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。

我们设计的电路在原有基础上增加了星期显示,校星期,秒表和60秒倒计时器的功能。

二、设计要求

1、基本电路

1、能进行正常的时、分、秒计时功能;

2、分别由六个数码管显示时分秒的计时;

3、K1是系统的使能开关(K1=0正常工作,K1=1时钟保持不变);

4、K2是系统的清零开关(K2=0正常工作,K2=1时钟的分、秒全清零);

5、K3是系统的校分开关(K3=0正常工作,K3=1时可以快速校分);

6、K4是系统的校时开关(K4=0正常工作,K4=1时可以快速校时)

2、提高电路

1、秒表

2、60秒倒计时器

1、Kjxq是校星期开关(Kjxq=0正常工作,Kjxq=1校星期)

2、Kmb是秒表切换开关(Kmb=1切换到秒表功能)

3、Kdj是倒计时器切换开关(Kdj=1切换到倒计时器功能)

三、方案论证

多功能数字时钟功能结构:

多功能数字计时器是由脉冲发生电路、消颤电路、计时电路、秒表电路、倒计时电路、译码显示电路、报时电路、切换电路、选择电路和控制电路等几部分组成的,控制电路按要求可由校分校时电路、清零电路和保持电路组成。

数字计时器的基本功能是计时,首先需要振荡时间的脉冲信号。

实验可用的振荡频率为48MHZ,通过分频可获得所需频率(1Hz,2Hz,500Hz,1KHz,1MHZz,其中1MHZ是以后实验所要用到的)。

设计一个模60计数器,1HZ的脉冲进行秒计数;分位也是模60计数器,通过秒的进位脉冲产生;时位是模24计数器,通过分进位脉冲产生。

显示功能通过译码器,根据计时器的信号进行动态显示。

校分校时功能通过逻辑门电路控制,通过控制使能端进行计数校分。

为实现其可靠性,采用了防抖动开关。

保持功能是通过逻辑门控制秒的使能端,使其暂停计时。

整点报时功能是通过组合逻辑门实现,当有设定好的高低电平出现时,选通,将设好频率送入蜂鸣器。

星期功能由模7计数器构成,1~6为顺序计时,星期日显示为8,所以这个电路需要两次不同的置数。

倒计时器设计模61倒计数器,从60到00计数。

秒表设计模100进行秒分位计时,秒位和分位都是模60计数器,通过低位进位脉冲产生。

多功能数字时钟总电路图:

四、设计原理

1、脉冲发生器

(1)2分频电路

2分频电路是由一个D触发器和一个非门实现,通过将D触发器的与D端连在一起就可从Q端得到2分频信号,如图:

仿真波形图如下:

2分频电路封装图如下:

(2)3分频电路

如图:

仿真波形图如下:

3分频电路封装图如下:

(3)10分频电路

电路图如下:

仿真波形图如下:

10分频电路封装图如下:

(4)1000分频电路

1000分频电路可用3个10分频电路串联而成。

电路图如下:

1000分频电路封装图如下:

(5)脉冲分频总电路

根据脉冲发生电路的过程图,我们可以得到分频总电路,如下图所示:

 

脉冲分频总电路封装图如下:

2、计数器设计

(1)模60计数器

74160是8421BCD方式计数,将计数信号送进7447后,可直接驱动数码管显示,不需要经过码转换。

时钟要显示十位和个位,所以模60计数器是由两个74160构成,分别作为个位和十位。

每当个位计数到1001时,RCD送1,将十位的ENT置位,十位计1,当十位计数到0101,个位计数到1001时(59),此时两个计数器重新从0开始。

LDN低有效,在59时,个位QDQA,十位QCQA为1时与EN通过与非送LDN,计数器置位为0。

电路图如下:

仿真波形图如下:

电路封装图如下:

(2)模24计数器

原理同模60,个位为0011(3),十位为0010

(2)时置数为0,个位QB,QA和十位QB和EN经与非门送入LDN。

仿真波形图如下:

电路封装图如下:

(3)模8计数器

星期显示是1~6,8,8表示星期日(在数码管显示时,可以将8理解为星期日),所以用一个模8循环计数器,利用状态置位法置位,在0110和1000时置的值不同。

观察下面的状态图可以发现,在循环圈中存在两个跳跃点,第一个跳跃点为0110,第二个跳跃点为1000,在这两个跳跃点上,计数器将不再按照加1的规律计数,而需要跳过几个连续的状态,我们可以借助于卡诺图进行求解置数控制信号LD和预置值输入信号DCBA的函数表达式。

模8计时器电路图如下:

仿真波形图如下:

电路封装图如下:

(4)模100计数器

模100计数器由两片74160构成,从0计数到99是再置数为0实现模100的计数。

计数器工作在100Hz频率下作为秒表的分秒位。

模100计时器电路图如下:

仿真波形图如下:

电路封装图如下:

3、计时与校正电路

(1)消颤电路

由于拨动开关会造成电平抖动,所以可以在原电路图上加入防抖动电路,使得电路更加稳定。

为此,利用D触发器锁存实现防颤功能。

消颤电路如下:

仿真波形图如下:

电路封装图如下:

(2)保持电路

保持电路功能是通过开关K1,用与门和非门实现。

将保持开关状态信号经过消颤后做非处理和秒计数位的输入脉冲相与,结果送入秒使能端。

K1=0时,电路正常计时,K1=1时,电路保持为当前时间。

原理图如下:

仿真波形图如下:

电路封装图如下:

(3)清零电路

清零电路是在任何状态下把电路归零,由K0开关实现。

把清零开关信号经过消颤经过非门送入时分秒计数器清零端(低有效)。

K0=0时电路正常工作,K0=1时,清零。

电路如下图:

仿真波形图如下:

电路封装图如下:

(4)校正电路

校分用Key实现,经过消颤,Key=0,OUT与使能端JF信号相接,由低位进位IN提供,正常计数;Key=1时,Key与vcc相与,通过或门OUT为1,CLK为1HZ,分计数校时。

校正电路图如下:

电路封装图如下:

(5)计时总电路

原理图如下:

仿真波形图如下:

电路封装图如下:

(4)报时电路

a、原理

报时电路由基本的门电路构成,实现蜂鸣器在53,55,57秒时报时频率为500Hz,,59’59”时报时频率为1kHz.

时刻

分十位

分个位

秒十位

秒个位

频率

Fh4fh3fh2fh1

fl4fl3fl2fl1

mh4mh3mh2mh1

ml4ml3ml2ml1

59’53”

0101

1001

0101

0011

500Hz

59’55”

0101

1001

0101

0101

500Hz

59’57”

0101

1001

0101

0111

500Hz

59’59”

0101

1001

0101

1001

1kHz

报时电路图如下:

电路封装图如下:

b、计时报时电路

计时报电路是在完成计时电路(包括时、分、秒、星期计时)、校时校分校星期电路及报时电路的功能设计后,将上述三个部分整合在一起电路。

计时报时总电路图如下:

仿真波形图如下:

电路封装图如下:

(5)译码显示电路

显示电路由数据选择器74151,译码器74138,计数器,显示译码器7447和数码显示管组成.本次实验中用到六位数码显示即六个数码显示管,为控制六个数码管循环点亮,首先设计一个模六计数器,后将其输出经过一个三--八译码器输出位地址,即译码电路7447将输入信号(y0~y3)对应的输出信号(a~g)控制数码管数字的显示,而模块74138译码器选择六个数码管中哪个接受信号。

每个数码显示管同步显示需要显示的数字,由于同一时间至点亮一个数码管,用较高的频率来数码管循环显示,利用人眼的视觉惰性,就实现了动态显示功能。

a、显示电路框图:

b、电路图如下:

电路封装图如下:

五、附加功能

1、星期功能

星期显示及校正星期的功能已接入计时、校正电路,可见上文。

2、秒表功能

秒表电路是由1个模100计数器和2个模60计数器构成,脉冲发生电路为秒表电路提供100Hz的频率以驱动其分秒位。

模100计数器和模60计数器分别组成分秒位、秒位和分位。

用清零、保持电路来控制秒表电路。

秒表电路的电路图如下:

仿真波形图如下:

电路封装图如下:

3、倒计时器

利用可逆计数器74190。

初始置数60,进行减计数,到9(1001)9(1001)时置数。

完成模61减计数器。

电路图如下:

仿真波形图如下:

电路封装图如下:

4、开关复用

为实现从正常时钟电路切换到倒计时电路,需要利用开关复用电路。

利用数据选择器可实现这一功能,减计数器与时钟电路计时器输出作为数据选择器的输入,利用开关切换进行复用显示。

开关复用电路如下:

电路封装图如下:

5、切换电路

切换电路由门电路和一个开关组成,实现通过开关0与1状态控制计时报时电路与秒表的使能端,切换到计数报时功能或秒表功能状态。

当miaobiao=0时,ENmb=0,ENjs=1,此时计报电路选通进行工作;而秒表电路没有选通,不工作。

当miaobiao=1时,ENmb=1,ENjs=0,此时秒表电路选通,进行工作;而计时报时电路没有选通,不工作。

切换电路的电路图如下:

6、选择电路

选择电路由或门组成,实现将计时报电路的秒、分、时的个位和十位或者是秒表的二进制码传送给译显示电路。

电路图如下:

电路封装图如下:

六、调试仿真和下载

调试:

将设计好的电路保存在工程文件夹中(不能有中文路径)。

再将文件置顶,最后进行编译。

在主菜单中选择processing→compilertool→start,则编译开始。

在编译过程中,若有任何信息、错误和警告消息,编译将停止,则需要返回原文件进行修改,修改后存盘,再编译直至文件通过。

仿真:

将要仿真的原理图文件进行置顶编译,然后新建一个“VectorWaveformfile”文件,生成波形文件(.vwf)。

左键双击节点区空白处,在弹出的菜单中选NodeFinder,在弹出的对话框中选择要观测的节点。

选edit→GridSize和edit→EndTime,设置相应选项,并给输入引脚加上适当的信号,然后保存。

接着选择主菜单中的processing项,在弹出的对话框中选择simulatortool选项。

若要进行功能仿真,首先要点击GenerateFunctionalSimulationNetlist选项。

仿真波形在四、五部分已经给出。

管脚分配图如图:

下载:

1.在主菜单Assignments中选择Device项,在弹出的对话框中选择相应的器件EP1C12Q240C8。

再选中DeviceandPinOptions选项,选择UnusedPins选项,选择asinputtri-stated选项。

2.在主菜单Assignments选“Pins”,打开平面布置图编辑器窗口,将设计的电路图中的各输入输出锁定在相应的管脚上。

3.在主菜单选File\Save保存文件,再次编译项目,生成.sof文件,以用于下载。

4.在主菜单Tools选Programmer,选择program/configure,再选择start,即完成下载到实验台上。

七、实验感想

EDA2实验是利用Quartus软件设计原理图,再下载到实验台上运行,比较EDA1所做的在实验室连电路,这次完全是靠软件来实现EDA1中的数字钟,并且在原有功能上添加自己附加的功能。

首先quartus对我来说是全新的软件,所以在使用软件的过程中,我从图书馆借了有关的参考资料,并且在网上查阅了相关书籍,以便更好地使用软件。

这次实验要求我们设计多功能数字钟,其基本功能包括0时0分0秒到23时59分59秒的24小时的时、分、秒计时、校秒校分、整点报时功能,以及计时的保持清零功能等。

除此之外,拓展项目有星期计数、校星期、秒表、闹钟、万年历、音乐装置等功能。

这次实验可以说综合性很强,对我们的数字电路的分析设计及动手操作能力提出了很高的要求。

实验中也遇到很多问题,有的和同学交流后得以解决,有的则请教助教。

下面简要列举所遇到的问题:

(1)在设计模7计数器时,由于在仿真时只显示很少的几个数,不足以看出模是多少,因此我将它的endtime改大后,仿真波形不是模6计数器,顺序完全混乱。

最终知道在改完endtime后要重新点击start按钮,这样波形才是真实的波形,否则是原来的波形的周期延拓。

(2)在将程序下载到试验台后,当计时器计到59分53、55、57、59秒蜂鸣器不响,后来在仿真下确定原理图没问题的情况下,原来是管脚锁定的时候把蜂鸣器的管脚设置错误。

(3)本来想加入闹钟设置功能,我设计的思想是:

闹钟与计时电路共用一个电路,但是设置单独的控制开关,当闹钟开关打开时,只显示小时和分钟,可以快速校分和校时,设置的时间用一寄存器保存下来。

当正常计时开关打开时,计时器正常计时,并将输出的时间与寄存器里的时间(闹钟设置时间)通过比较器进行比较。

当两者相同时将输出高电平激励蜂鸣器,而且我自己用VHDL写了《梁祝》音乐作为闹铃,但是问题出现了,在实际操作过程中,单独设置的闹钟开关无效(管脚设置正确),导致显示管一直显示闹钟设置的界面,无法切回正常计时的界面,从而闹钟功能未实现。

总结:

通过一个星期的实验,感觉自己使用软件更为熟练了。

而且明白了一个浩大的工程都是一个一个子模块构成的,我们要注意实验中的细节,这样不会做很多无用功。

自己的工程素质还有待加强,希望以后有机会能进一步了解quartus软件。

八、参考文献

[1]《数字逻辑电路》——蒋立平姜萍谭雪琴花汉兵.电子工业出版社

[2]《EDA设计实验指导书》——南京理工大学电子技术中心

 

 

 

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 考试认证 > 交规考试

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1