电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx

上传人:b****6 文档编号:4959573 上传时间:2022-12-12 格式:DOCX 页数:22 大小:1.27MB
下载 相关 举报
电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx_第1页
第1页 / 共22页
电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx_第2页
第2页 / 共22页
电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx_第3页
第3页 / 共22页
电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx_第4页
第4页 / 共22页
电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx_第5页
第5页 / 共22页
点击查看更多>>
下载资源
资源描述

电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx

《电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx》由会员分享,可在线阅读,更多相关《电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx(22页珍藏版)》请在冰豆网上搜索。

电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译.docx

电气自动化专业基于Multisim11的压控振荡电路仿真设计大学毕业论文英文文献翻译

 

毕业设计(论文)

外文文献翻译

 

文献、资料中文题目:

基于Multisim11的压控振荡电路仿真设计

文献、资料英文题目:

文献、资料来源:

文献、资料发表(出版)日期:

院(部):

专业:

电气工程与自动化

班级:

姓名:

学号:

指导教师:

翻译日期:

2017.02.14

分类号

密级

 

基于Multisim11的压控振荡电路仿真设计

所在学院

机械与电气工程学院

专业

电气工程及其自动化

班级

姓名

学号

指导老师

 

 

诚信承诺

我谨在此承诺:

本人所写的毕业论文《基于Multisim11的压控振荡电路仿真设计》均系本人独立完成,没有抄袭行为,凡涉及其他作者的观点和材料,均作了注释,若有不实,后果由本人承担。

承诺人(签名):

年月日

摘要

Multisim是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于初级的模拟及数字电路板的设计工作,Multisim不仅具有丰富的仿真分析能力,而且还包含了电路原理图的图形输入及电路硬件描述语言的输入方式。

有了Multisim软件就相当于有了一个电子实验室,可以非常方便的从事各种电路设计及仿真分析工作。

随着无线通信技术的快速发展,使得市场对压控振荡电路产生了巨大的需求。

压控振荡器是通过调节可变电阻或电容可以改变波形的振荡频率,一般是通过人工来调节的。

而在自动控制场合往往要求能自动地调节振荡频率。

常见的情况是给出一个控制电压,要求输出波形的振荡频率与控制电压成正比。

这种电路称为压控振荡器。

本次设计的内容是基于Multisim11的压控振荡电路仿真设计,阐述了压控振荡器的电路原理以及组成结构。

本次设计是采用集成运算放大器741芯片组成的滞回电压比较器和反向积分电路,利用二极管1N4148相当于电子开关的功能,控制电容的充放电时间,构成的压控振荡电路,从而实现输入电压对输出频率变化的控制。

只要改变输入端的电压,就可以改变输出端的输出频率。

并在电路设计与仿真平台Multisim11仿真环境中创建集成压控振荡器电路模块,进而使用Multisim仿真工具对其进行仿真从而达到设计的目的和要求。

 

关键词:

Multisim,压控振荡器,1N4148

Abstract

NationalInstrumentsMultisimisintroducedinWindows-basedsimulationtoolforprimaryanaloganddigitalcircuitboarddesign,Multisimsimulationanalysisnotonlyhastheabilitytoenrichit,andalsoincludesacircuitschematicgraphicalinputandinputcircuithardwaredescriptionlanguage.WithMultisimsoftwarehastheequivalentofanelectroniclaboratorycanbeveryconvenienttoavarietyofcircuitsinthedesign,simulationandanalysis.

Withtherapiddevelopmentofwirelesscommunicationtechnology,makingthemarketforavoltagecontrolledoscillatorcircuitgeneratesahugedemand.Byadjustingthevoltagecontrolledoscillatorisavariableresistororcapacitorcanchangetheoscillationfrequencyofthewaveformisgenerallyadjustedbythemanual.Intheautomaticcontrolapplicationsoftenrequiretheoscillationfrequencycanbeautomaticallyadjusted.Commoncaseisgivenacontrolvoltageandoscillationfrequencycontrolvoltagerequiredisproportionaltotheoutputwaveform.ThiscircuitiscalledVCO.

ThedesignisbasedonthecontentsofthevoltagecontrolledoscillatorcircuitsimulationMultisim11designedtoexplaintheprinciplesoftheVCOcircuitstructureandcomposition.Thedesignofanintegratedoperationalamplifieriscomposedof741chips,andthehysteresiscomparatorreverseintegrationcircuitusingadiode1N4148equivalentelectronicswitch,controlchargeanddischargetimeofthecapacitor,voltagecontrolledoscillationcircuit,enablingtheinputvoltagecontroloftheoutputfrequencyvariation.Aslongastheinputvoltagechanges,theoutputterminalcanchangetheoutputfrequency.AndcreateintegratedVCOcircuitmoduleinthecircuitdesignandsimulationplatformMultisim11simulationenvironment,andthenusethemMultisimsimulationtoolstoachievetheobjectivesandrequirementsofsimulationdesign.

KeyWords:

Multisim,VCO,1N4148

摘要I

AbstractII

目录III

第1章概述1

1.1Multisim简介1

1.2Multisim发展1

1.3Multisim11新增的功能2

1.4Multisim主要特点3

第2章压控振荡电路的总体设计5

2.1设计要求5

2.2总体设计框图5

2.3压控振荡电路芯片的介绍5

2.4压控振荡电路分立元器件的介绍7

第3章单元电路的设计8

3.1滞回比较器的设计8

3.2反相积分电路的设计10

第4章压控振荡电路的基本原理12

4.1电路的构成及工作原理12

4.2振荡频率与输入电压的函数关系12

第5章压控振荡电路的仿真与调试14

5.1运行与仿真14

5.2原理图及PCB板图的绘制16

5.3仿真与实测分析18

总结21

参考文献22

致谢23

第1章概述

1.1Multisim简介

Multisim是加拿大图像交互技术公司(InteractiveImageTechnoligics简称IIT公司)推出的以Windows为基础的仿真工具,适用于初级的模拟/数字电路板的设计工作。

它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路行为进行仿真。

Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。

通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

NIMultisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。

凭借NIMultisim,可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。

借助专业的高级SPICE分析和虚拟仪器,能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。

与NILabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。

1.2Multisim发展

本论文用的是Multisim11版本。

此软件的历史版本升级情况为EWB4.0>EWB5.0>EWB6.0>Multisim2001>Multisim7>Multisim8,后来由美国国家仪器(NI)有限公司收购InteractiveImageTecnologie,自此起版本为Multisim9>Multisim10>Multisim11。

Multisim2001是一个用于电路设计和仿真的EDA工具软件,由于其强大的功能,形象生动的仿真效果,友好的界面,丰富的元件库和仪表库,在我国各级各类学校得到广泛的推广应用,尤其是电气类专业可以将其作为电子电路的教学示教、仿真实验、电子电路的设计等。

2003年推出的新版本Multisim7。

它将以前的EWB5.0和Multisim2001版本功能大幅度的提高,比如在EWB5.0版本中做电路仿真实验调用虚拟仪器时,一个种类每次只能调用一台,这是一个很大的缺陷。

又如Multisim2001版本,它与实际元器件相对应的现实性仿真元器件模型只有6种,而Multisim7版本比此前的版本多增加了4种;Multisim2001版本的虚拟仪器只有11种,而Multisim7版本比此前的版本多增加了7种;尤其是像示波器这类常用的电子仪器,Multisim2001版本只是提供了双踪示波器,而Multisim7版本却能提供4踪示波器,这给做数字电路仿真实验等需要同时观察多路波形提供了一个极其方便的平台。

又比如Multisim2001版本只能提供“亮”与“灭”黑白两种状态指示灯,而Multisim7版本却能提供蓝、绿、红、黄、白5种状态的指示灯,使用起来更加方便。

总而言之,Multisim7版本的电子仿真软件是一款比较先进、功能比较强大的仿真软件。

2004年底推出的新版本Multisim8在保留了EWB原版本形象直观等优点的基础之上,扩充了元件库中仿真元器件的数量,还大大提高了软件的仿真测试和分析功能,特别是增加了若干个与实际元器件相对应的建模精确的真实仿真元器件模型,使仿真结果更加精确、可靠。

2010年1月,由美国国家仪器(NI)有限公司推出的分别针对专业电路设计的教育版和专业版电路仿真软件Multisim11。

这一款简单容易上手使用的Multisim软件以图形化的方式消除了以往传统电路仿真的复杂性,可以更好的帮助教育工作者、学生及工程师使用。

Multisim11教育版专注于教学,内有电路教程和课件。

这一系统帮助教育工作者吸引学生,用互动、动手操作的方式研究电路行为,深化电路理论。

由于Multisim的交互式组件、模拟驱动仪器、实际的模拟和数字测量的整合,使Multisim在学术界、专科技术院校和大学获得了广泛应用。

Multisim11专业版帮助工程师优化电路设计,减少错误和原型重复。

1.3Multisim11新增的功能

(1)提高了Multisim原理图与Ultiboard布线之间的设计同步性与完整性。

包括对于设计冲突的用户界面改进,允许对同一封装中多个门电路之间进行显式匹配的全新对话框以及通过电子表格视图中的结果标签页来更方便地找出那些容易被忽略的设计改动。

(2)专为学生定制了NImyDAQ。

NImyDAQ是一款适合大学工程类课程的便携式数据采集设备,集成了8个虚拟仪表。

NImyDAQ、NILabVIEW和Multisim三者可以协同进行实际的工程实验,使学生们在课堂或实验室之外也能接触原型系统并分析电路性能。

(3)扩展了原有元器件库。

新增了源自Microchip、TexasInstruments、LinearTechnologies等公司的五百五十多种元器件,使元件总数达到一万七千余种。

(4)不断改进虚拟接口。

所谓虚拟接口就是无须在连接点之间显式地放置连线,可以用虚拟接口进行网络连接,广泛用于单页、多页和层次结构的设计中。

改进的方面有隐藏接口名称、精确名称定位和更安全的接口命名功能,以此来帮助用户创建可读性更高的原理图。

(5)提高打开和保存文件的速度以及移动组件、取消、更改和重新更改的速度。

以前在Multisim中打开多个设计时,有时难以识别哪些设计是主动仿真设计,为了克服这种情况,仿真设计指示器出现在主动仿真设计旁边的设计工具栏(DesignToolbox)的层次(Hierarchy)标签内,设计者可以快速识别各种文档的层次关系。

(6)提升了可编程逻辑器件(PLD)原理图设计仿真与硬件实现一体化融合的性能。

将一百多种新型基本元器件放置到仿真工作界面,搭接电路后可直接生成VHDL代码。

(7)新增波特图分析仪。

通过安装NIELVISmx驱动软件4.2.3及以上版本,用户可以访问一个新的NIELVIS仪器——波特图分析仪,以帮助学生分析其实际电路。

(8)增加了AC单频分析。

(9)新增NI范例查找器。

NIMultisim11软件为了帮助用户熟悉仿真软件的使用,自身携带了大量的实例,用户可通过关键词或带有逻辑性的文件夹搜索所有范例进行学习。

1.4Multisim主要特点

NIMultisim软件是一个专门用于电子电路仿真与设计的EDA工具软件。

Multisim仿真软件自20世纪80年代产生以来,已经过数个版本的升级,除保持操作界面直观、操作方便、易学易用等优良传统外,电路仿真功能也得到不断完善。

目前,其最新版本NIMultisim11主要有以下特点。

(1)众多的虚拟仪表从最早的EWB5.0含有7个虚拟仪表到NIMultisim11提供22种虚拟仪器,这些仪器的设置和使用与真实仪表一样,能动态交互显示。

用户还可以创建LabVIEW的自定义仪器,既能在LabVIEW图形环境中灵活升级,又可调入NIMultisim11方便使用。

(2)直观的图形界面在NIMultisim11中保持了原EWB图形界面直观的特点,电路仿真工作区就像一个电子实验工作台,元件和测试仪表均可直接拖放到屏幕上,可通过单击鼠标用导线将它们连接起来,虚拟仪器操作面板与实物相似,甚至完全相同。

可方便选择仪表测试电路波形或特性,可以对电路进行20多种电路分析,以帮助设计人员分析电路的性能。

(3)独特的虚实结合在NIMultisim11电路仿真的基础上,NI公司推出教学实验室虚拟仪表套件(ELVIS),用户可以在NIELVIS平台上搭建实际电路,利用NIELVIS仪表完成实际电路的波形测试和性能指标分析。

用户可以在NIMultisim11电路仿真环境中模拟NIELVIS的各种操作,为实际NIELVIS平台上搭建、测试实际电路打下良好的基础。

NIELVIS仪表允许用户自定制并进行灵活的测量,还可以在NIMultisim11虚拟仿真环境中调用,以此完成虚拟仿真数据和实际测试数据的比较。

(4)简化了FPGA应用在NIMultisim11电路仿真环境中搭建数字电路,通过测试功能正确后,执行菜单命令将之生成原始VHDL语言,有助于初学VHDL语言的用户对照学习VHDL语句。

用户可以将这个VHDL文件应用到现场可编程门阵列(FPGA)硬件中,从而简化了FPGA的开发过程。

(5)丰富的元件自带元件库中的元件数量已超过17000个,可以满足工科院校电子技术课程的要求。

NIMultisim11的元件库不但含有大量的虚拟分离元件、集成电路,还含有大量的实物元件模型,包括一些著名制造商,如AnalogDevice、LinearTechnologies、Microchip、NationalSemiconductor以及TexasInstruments等。

用户可以编辑这些元件参数,并利用模型生成器及代码模式创建自己的元件。

(6)完备的仿真分析以SPICE3F5和XSPICE的内核作为仿真的引擎,能够进行SPICE仿真、RF仿真、MCU仿真和VHDL仿真。

通过NIMultisim11自带的增强设计功能优化数字和混合模式的仿真性能,利用集成LabVIEW和Signalexpress可快速进行原型开发和测试设计,具有符合行业标准的交互式测量和分析功能。

(7)远程的教育用户可以使用NIELVIS和LabVIEW来创建远程教育平台。

利用LabVIEW中的远程面板,将本地的VI在网络上发布,通过网络传输到其他地方,从而给异地的用户进行教学或演示相关实验。

(8)强大的MCU模块可以完成8051、PIC单片机及其外部设备(如RAM、ROM、键盘和LCD等)的仿真,支持C代码、汇编代码以及十六进制代码,并兼容第三方工具源代码;具有设置断点、单步运行、查看和编辑内部RAM、特殊功能寄存器等高级调试功能。

第2章压控振荡电路的总体设计

2.1设计要求

本次电压控制振荡电路设计任务书要求的技术范围。

(1)压控振荡电路的输出波形为锯齿波,无明显失真。

(2)压控振荡电路的控制电压为1V-10V。

(3)压控振荡电路的频率范围在100Hz-1100Hz。

2.2总体设计框图

考虑到此次设计为低频信号输出,且波形稳定,电压和频率的关系接近线性关系,故选择设计框图如下图2.1所示。

图2.1总体设计框图

本次设计应用集成运放芯片741和电阻电容组成反相积分电路,再和若干个电阻构成滞回电压比较器,分别给两个电路输入两个参考电压,然后由反馈电阻控制二极管的导通和截止,在反相积分电路的输入端形成一个矩形波,从而来控制电容的充放电的时间,以此来控制输出频率的变化,从而实现电压控制频率的这一目的,涉及的芯片很常见,电压和频率之间的关系也稳定,整个电路分工明确,可操作性高。

2.3压控振荡电路芯片的介绍

运算放大器分为:

三端集成运放、五端集成运放、七端集成运放和九端集成运放。

其中三端运算放大器不需要接电源和地线,仿真速度比较快,但是模型不是很准确,可以满足一般需要。

五端运算放大器有电源和接地端。

七端、九端运算放大器功能强,管脚也多。

此次设计使用七端运算放大器741就可以满足要求,故优先选择741运放芯片。

比较器分为:

过零电压比较器、电压比较器、窗口比较器和滞回比较器。

过零电压比较器:

典型的幅度比较电路。

电压比较器:

将过零比较器的一个输入端从接地改接到一个固定电压值上,就得到电压比较器。

窗口比较器:

电路由两个幅度比较器和一些二极管与电阻构成,高电平信号的电位水平高于某规定值VH的情况,相当比较电路正饱和输出。

低电平信号的电位水平低于某规定值VL的情况,相当比较电路负饱和输出。

该比较器有两个阈值,传输特性曲线呈窗口状,故称为窗口比较器。

滞回比较器:

从输出引一个电阻分压支路到同相输入端,当输入电压VI从零逐渐增大,且VI上限阀值(触发)电平。

当输入电压VI>VT时,VT称为下限阀值(触发)电平。

常见的比较器芯片有LM324、LM358、uA741、TL081\2\3\4、OP07、OP27,而在上面的运算放大器芯片的选择中,我选择了741系列芯片,为了后续的方便,故此次比较器的选择,我同样选择741系列比较器芯片。

741单运放是高增益运算放大器,用于军事,工业和商业应用.这类单片硅集成电路器件提供输出短路保护和闭锁自由运作。

这些类型还具有广泛的共同模式,差模信号范围和低失调电压调零能力与使用适当的电位。

芯片引脚如下图2.2所示。

图2.2741芯片引脚功能图

其中1和5为偏置(调零端),2为正向输入端,3为反向输入端,4接地,6为输出,7接电源,8空脚。

因为上面选用的芯片都是采用741系列的芯片,所以其引脚功能和内部结构都是一致的。

2.4压控振荡电路分立元器件的介绍

本次设计采用的分立元器件主要有电容,二极管1N4148、稳压二极管1N4733和若干电阻。

电容:

所谓电容,就是容纳和释放电荷的电子元器件。

电容的基本工作原理就是充电放电,当然还有整流、振荡以及其它的作用。

另外电容的结构非常简单,主要由两块正负电极和夹在中间的绝缘介质组成,所以电容类型主要是由电极和绝缘介质决定的。

二极管:

二极管的主要特性是单向导电性,也就是在正向电压的作用下,导通电阻很小;而在反向电压作用下导通电阻极大或无穷大。

稳压二极管:

 稳压二极管的作用,稳压二极管也称齐纳二极管或反向击穿二极管,在电路中起稳定电压作用。

它是利用二极管被反向击穿后,在一定反向电流范围内反向电压不随反向电流变化这一特点进行稳压的。

电阻:

电阻是导体的一种基本性质,与导体的尺寸、材料、温度有关。

有这样的定义:

导体上加上一伏特电压时,产生一安培电流所对应的阻值。

电阻的主要职能就是阻碍电流流过。

它的作用有限流,分流,分压。

 

第3章单元电路的设计

3.1滞回比较器的设计

电路有两个阀值电压,输人电压U1,从小变大过程中使输出电压UO产生跃变的阀值电压UTI,不等于UI从大变小过程中使输出电压UO产生跃变的阀值电压UT2电路具有滞回特性。

它与单限比较器的相同之处在于:

单输人电压向单一方向变化时,输出电压只跃变一次。

如下图3.1所示是某滞回比较器的电压传输特性。

图3.1滞回比较器的电压传输特性图

在单限比较器中,输入电压在阀值电压附近的任何微小变化,都将引起输出电压的跃变,不管这种微小变化是来源于输入信号还是外部干扰。

因此,虽然单限比较器很灵敏,但是抗干扰能力差。

而滞回比较器具有滞回特性,即具有惯性,因此也就具有一定的抗干扰能力。

从反向输入端输人的滞回比较器电路如下图3.2所示,滞回比较器电路中引人了正反馈。

图3.2滞回比较器电路图

本次设计采用741比较器和2个稳压二极管1N4733以及不同阻值的电阻来构成滞回电压比较电路,具体设计电路图如下图3.3所示。

图3.3滞回电压比较电路仿真图

3.2反相积分电路的设计

积分电路主要用于波形变换、放大电路失调电压的消除及反馈控制中的积分补偿等场合。

图3.4是一个典型的积分电路图。

由图可以看出,输入信号经过了一个电阻后经过反馈流到电容上,但此时认为电容的初始电量为零,故此时给电容充电。

由理想运算放大器的虚短虚断性质可推出,Vn-Vo=1/C∫idt,所以Vo=-1/(RC)∫Vdt。

如果把R1和C换个位置,就成了微分电

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1