简易电子琴课程设计QUARTUS制作.docx

上传人:b****3 文档编号:4734714 上传时间:2022-12-08 格式:DOCX 页数:26 大小:820.80KB
下载 相关 举报
简易电子琴课程设计QUARTUS制作.docx_第1页
第1页 / 共26页
简易电子琴课程设计QUARTUS制作.docx_第2页
第2页 / 共26页
简易电子琴课程设计QUARTUS制作.docx_第3页
第3页 / 共26页
简易电子琴课程设计QUARTUS制作.docx_第4页
第4页 / 共26页
简易电子琴课程设计QUARTUS制作.docx_第5页
第5页 / 共26页
点击查看更多>>
下载资源
资源描述

简易电子琴课程设计QUARTUS制作.docx

《简易电子琴课程设计QUARTUS制作.docx》由会员分享,可在线阅读,更多相关《简易电子琴课程设计QUARTUS制作.docx(26页珍藏版)》请在冰豆网上搜索。

简易电子琴课程设计QUARTUS制作.docx

简易电子琴课程设计QUARTUS制作

可编程逻辑期末设计

 

目:

简易电子琴制作院:

信息工程学院

 

级:

2009

 

完成时间:

2012年2月27日

 

1、课程设计目的..........................................................................................................................3

可编程逻辑期末大作业-简易电子琴设计

2、课程设计要求..........................................................................................................................3

2.1、基础部分..........................................................................................................................3

2.2、发挥部分..........................................................................................................................3

3、设计(课程)基本内容..........................................................................................................4

3.1、EDA技术/VHD语言.............................................................................................................4

4、电子琴设计原理及过程..........................................................................................................5

4.1、设计规划..........................................................................................................................5

4.2、基础部分原理..................................................................................................................5

4.3、简易电子琴的设计流程..................................................................................................5

5、课程设计的程序模块..............................................................................................................6

5.1、乐曲演奏模块(PS2SCAN)...............................................................................................6

5.1.1、模块说明......................................................................................................................6

5.1.2、乐曲演奏模块文本程序..............................................................................................7

5.2、音调发生模块................................................................................................................11

5.2.1、模块说明....................................................................................................................11

5.2.2、音调发生模块文本程序:

........................................................................................12

5.3、数控分频模块................................................................................................................13

5.3.1、模块说明....................................................................................................................13

5.3.2、数控分频模块文本程序............................................................................................14

5.4、音符存储模块................................................................................................................17

5.4.1、模块说明....................................................................................................................17

5.4.2、音符存储模块的源程序............................................................................................17

6、顶层模块设计........................................................................................................................19

6.1、模块说明........................................................................................................................19

6.2、顶层模块文本程序........................................................................................................20

6.3、综合设计原理图(完善)............................................................................................22

7、系统程序仿真和编译............................................................................................................22

7.1、程序编译........................................................................................................................22

7.1.1、编译过程....................................................................................................................22

8、设计中遇到问题以及设计小结............................................................................................25

8.1、遇到的问题(分析)..................................................................................................25

8.2、设计小结(总结)........................................................................................................26

可编程逻辑期末大作业-简易电子琴设计

1、课程设计目的

1)利用数控分频器设计一个电子琴硬件电路和音乐发生器,设计达到演奏时可以选择是手演奏(键盘输入),或自动演奏已存入的乐曲,并且能自动演奏。

2)巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立

工作能力,通过对一个简易的14音符电子琴的设计,进一步加深对计算机原理以及数字

电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和

步骤。

巩固所学课堂知识,理论联系实际,提高分析、解决计算机技术实际问题的独立

工作能力。

为了进一步了解计算机组成原理与系统结构,深入学习EDA技术,用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。

3)提高学生对eda软件实践操作能力和工程设计能力,对eda技术和fpga应用的相关

知识进行了系统的介绍,内容包括eda技术的基本知识,fpga的基本原理,quartusii的

使用方法与使用技巧,主流硬件描述语言vhdl的语法规则介绍及实例说明,常用的控制或通信功能模块的设计方法实例,以及采用vhdl语言描述的fpga综合实例。

2、课程设计要求

2.1、基础部分

1)当键盘输入123456789abcde是对应响应的频率

2)演奏时在8段数码管显示对应音符

2.2、发挥部分

3)具有存储功能存储20个音符

4)当键盘上某一个键(如v)时,自动重放存储区音符

可编程逻辑期末大作业-简易电子琴设计

3、设计(课程)基本内容

内容包括eda技术的基本知识,fpga的基本原理,quartusii的使用方法与使用技巧,

主流硬件描述语言vhdl的语法规则介绍及实例说明,常用的控制或通信功能模块的设计

方法实例,以及采用vhdl语言描述的fpga综合实例设计。

本书由浅入深,从易到难,既让初学者轻松入门,又让有经验的设计者得到有价值的参考信息。

3.1、eda技术/vhd语言

随着基于cpld的eda技术的发展和应用领域的扩大与深入,eda技术在电子信息、通

信、自动控制用计算机等领域的重要性日益突出。

EDA技术就是以计算机为工具,设计者

在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编

译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、

逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

  利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作

可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

此次设计主要是基于vhdl文本输入法设计乐曲演奏电路,运用vhdl语言对简易电子

琴的各个模块进行设计,并使用eda工具对各模块进行仿真验证。

该系统基于计算机中

时钟分频器的原理,采用自顶向下的设计方法来实现,通过按键输入来控制音响或者自

动演奏已存入的歌曲。

系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部

分组成。

系统实现是用硬件描述语言vhdl按模块化方式进行设计,然后进行编程、时序

仿真、电路功能验证,奏出美妙的乐曲(当然由于条件限制,暂不进行功能验证,只进

行编程和时序仿真)。

该设计最重要的一点就是通过按键控制不同的音调发生,每一个音调对应不同的频率,从而输出对应频率的声音。

可编程逻辑期末大作业-简易电子琴设计

4、电子琴设计原理及过程

4.1、设计规划

根据系统设计要求,系统设计采用自顶向下的设计方法,它由乐曲自动演奏模块、音调发生模块和数控分频模块,存储模块四部分组成

4.2、基础部分原理

本课程设计目的在于灵活运用eda技术编程实现一个简易电子琴的乐曲演奏,它要求

在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。

按下每个代表不同音阶的按键时,能够发出相对应频率的声音。

故系统可分为乐曲自动演奏模块

(ps2scan)、音调发生模块(tonetaba)和数控分频模块(speakera)三部分。

4.3、简易电子琴的设计流程

根据系统设计要求,系统该系统基于计算机中时钟分频器的原理,设计采用自顶向

下的设计方法,通过按键输入来控制音响或者自动演奏已存入的歌曲。

它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。

用vhdl语言设计电路的流程:

1.使用文本编辑器输入设计源文件。

2.使用编译工具编译源文件:

vhdl的编译语言。

3.功能仿真。

4.综合。

综合的目的是在于将设计的源文件由语言转换为实际的电路。

这一部分最终目的是生成门电路级的网表(netlist)。

5.框图布局、布线。

这一步的目的是生成用于编程文件。

先将各个设计中的门根据

网表的内容和器件的结构放在器件的特定部位。

然后,在根据网表中提供的各门的连接,把各个门的输入输出连接起来。

6.编译完成,下载。

可编程逻辑期末大作业-简易电子琴设计

5、课程设计的程序模块

5.1、乐曲演奏模块(ps2scan)

5.1.1、模块说明

乐曲自动演奏模块的作用是产生14位发生控制输入信号。

当进行自动演奏时,由存储在此模块的8位二进制数作为发声控制输入,从而自动演奏乐曲。

该模块的vhdl源程序主要由3个process(clk)工作进程组成,第一个

process(clk)的作用是根据键盘输入(自动演奏)的值(0或1)来判断计数器count以及脉冲clk的输出值。

部分源程序如下:

process(clk)

begin

 

--工作进程开始

ifclk'eventandclk='1'then

—时钟输入信号为1

kbclkreg<=kbclk;

kbclkfall<=kbclkregand(notkbclk);

endif;

endprocess;

当确定了时钟信号输出的值后,在第二个process中就可以由它控制14位发声控制

输入信号了。

即disp的值为时,count为1。

最后的process(clk)便是由前两个

process所确定的count、kbclk和键盘输入信号值kbdata将8位的二进制数转化为音符信号的输出,达到自动演奏的目的。

部分源程序如下:

process(clk)

begin

ifclk'eventandclk='1'then

casekbcoderegis--由计数器从0到15的取值判断音符信号的8位二进制数

可编程逻辑期末大作业-简易电子琴设计

when"00001011"=>disp<="0001";

when"10001111"=>disp<="0010";……

该模块最主要的用途就是将输入二进制数转化为发声控制输入,是产生音符的重要步骤,ps2scan模块的源程序符号编辑图如图

图5-1-1:

ps2scan模块的符号编辑

在此程序中自动模块输出的音符数据,经过翻译后将输出到数控分频模块为其提供分频系数的初始值,

5.1.2、乐曲演奏模块文本程序

--程序名称:

ps2scan.vhd

--程序功能:

采用vhdl语言编程产生14位发声控制输入信号

libraryieee;

useieee.std_logic_1164.all;

useieee.numeric_std.all;

entityps2scanis

port

clk,kbclk,kbdata:

instd_logic;-系统时钟信号/键盘输入演奏信号/键盘输入信号disp:

outstd_logic_vector(3downto0);--音符显示信号(时钟输出)

auto:

outstd_logic----音频信号(键盘输入信号)

endentity;

architecturertlofps2scanis

signalkbclkreg,kbclkfall:

std_logic;

可编程逻辑期末大作业-简易电子琴设计

signaldatacoming:

std_logic:

='0';

signalcnt:

integerrange0to9;

signalshiftdata,kbcodereg:

std_logic_vector(7downto0);---输入8位控制信号

signaldelay:

std_logic:

='0';

signalcount:

integerrange0to10000;--定义信号计数器,10000个信号元素begin

process(clk)

begin

--工作进程开始

ifclk'eventandclk='1'then

kbclkreg<=kbclk;

kbclkfall<=kbclkregand(notkbclk);

endif;

endprocess;

process(clk)

begin

ifclk'eventandclk='1'then--键盘输入为1

ifkbclkfall='1'anddatacoming='0'andkbdata='0'thendatacoming<='1';

cnt<=0;

elsifkbclkfall='1'anddatacoming='1'then

ifcnt=9then

ifkbdata='1'thendatacoming<='0';

endif;cnt<=0;

kbcodereg<=shiftdata;

else

shiftdata<=kbdata&shiftdata(7downto1);

cnt<=cnt+1;

可编程逻辑期末大作业-简易电子琴设计

endif;

endif;endif;

endprocess;process(clk)

begin

ifclk'eventandclk='1'then

casekbcoderegis--由计数器从0到15的取值判断音符信号的8位二进制数when"00001011"=>disp<="0001";

when"10001111"=>disp<="0010";

when"00010011"=>disp<="0011";

when"00010010"=>disp<="0100";

when"10010111"=>disp<="0101";

when"10011011"=>disp<="0110";

when"00011110"=>disp<="0111";

when"00011111"=>disp<="1000";

when"00100011"=>disp<="1001";

when"00001010"=>disp<="1010";

when"10001110"=>disp<="1011";

when"10010010"=>disp<="1100";

when"10010110"=>disp<="1101";

when"00010110"=>disp<="1110";

when"10011010"=>disp<="1111";

whenothers=>disp<="0000";

endcase;

endif;

endprocess;

process(clk)

begin

ifclk'eventandclk='1'then

可编程逻辑期末大作业-简易电子琴设计

casekbcoderegis

when"00001011"=>delay<='1';

when"10001111"=>delay<='1';

when"00010011"=>delay<='1';

when"00010010"=>delay<='1';

when"10010111"=>delay<='1';

when"10011011"=>delay<='1';

when"00011110"=>delay<='1';

when"00011111"=>delay<='1';

when"00100011"=>delay<='1';

when"00001110"=>delay<='1';

when"00011001"=>delay<='1';

when"10010000"=>delay<='1';

when"00010001"=>delay<='1';

when"10010010"=>delay<='1';

when"10011010"=>delay<='0';

whenothers=>delay<='0';

endcase;

endif;

ifclk'eventandclk='1'thencount<=count+1;

elsenull;

endif;

ifcount=1000anddelay='1'then

count<=0;

auto<='1';

elseifcount

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 法律文书 > 调解书

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1