集成电路版图设计.docx

上传人:b****4 文档编号:3914409 上传时间:2022-11-26 格式:DOCX 页数:16 大小:728.11KB
下载 相关 举报
集成电路版图设计.docx_第1页
第1页 / 共16页
集成电路版图设计.docx_第2页
第2页 / 共16页
集成电路版图设计.docx_第3页
第3页 / 共16页
集成电路版图设计.docx_第4页
第4页 / 共16页
集成电路版图设计.docx_第5页
第5页 / 共16页
点击查看更多>>
下载资源
资源描述

集成电路版图设计.docx

《集成电路版图设计.docx》由会员分享,可在线阅读,更多相关《集成电路版图设计.docx(16页珍藏版)》请在冰豆网上搜索。

集成电路版图设计.docx

集成电路版图设计

《集成电路版图设计》

 

学院:

_____________

专业班级:

_____________

学号:

_____________

学生姓名:

_____________

指导教师:

_____________

 

摘要

什么是集成电路?

把组成电路的元件、器件以及相互间的连线放在单个芯片上,整个电路就在这个芯片上,把这个芯片放到管壳中进行封装,电路与外部的连接靠引脚完成。

什么是集成电路设计?

根据电路功能和性能的要求,在正确选择系统配置、电路形式、器件结构、工艺方案和设计规则的情况下,尽量减小芯片面积,降低设计成本,缩短设计周期,以保证全局优化,设计出满足要求的集成电路。

《集成电路版图设计》基于Cadence软件的集成电路版图设计原理、编辑和验证的方法。

本次实验是基于Cadence版图设计软件平台,采用L50C7工艺库,设计一个运算放大器,并且,为了防止电路中各元件间产生闩锁效应,在实际生产流片中每个元件都应该添加保护环,以防止各元件间电流之间产生各种影响。

并且增加电路的稳定性和可靠性。

电路的验证采用的是Calibre验证工具,对电路版图进行了DRC验证和LVS验证。

关键词:

Calibre,运算放大器

 

1、电路设计流程......................................................1

 

2、版图的制作流程....................................................2

 

3、二级运算放大器的原理图..............................................3

 

4、器件尺寸的计算......................................................4

 

5、二级运算放大器原理图................................................5

 

6、二级运算放大器版图.................................................9

 

心得体会.........................................................11

 

参考文献.........................................................12

 

1、电路设计流程

设计规范

行为级描述

设计规范是为了确定电路要应用的工艺,和所需要的指标。

这些指标包括:

电源电压、功耗、增益、带宽、失真、噪声、输入输出动态范围、电路面积等。

行为级描述是实现系统功能所必须的编辑。

然后实行RTL描述,功能验证和测试,进行对错误的排除,再设计逻辑组合和门级网表,验证这些组合和网表是否正确,所有都正确了后进行布局布线,画出版图,再验证版图的准确性,就可以进行流片生产。

版图验证

画物理版图

RTL描述(HDL)

功能验证与测试

逻辑组合

门级网表

布局布线

逻辑验证与测试

生产

 

 

 

二、版图的制作流程

电路原理图

版图文件

LVS文件

设计规则

DRC文件

LVS检查

画版图

DRC检查

由于设计目标已经电路的构造课本已经讲述的十分详细。

所以我讲接着阐述版图的制作过程。

首先将电路图转为相应的版图,意思就是把相对

应的器件进行布局布线。

因制造工艺精度有限,所以版图必须满足一定的规则要求。

按照设计规则布局布线后,接着就要对它进行检查。

由于版图是人工布局布线,因此

或多或少的存在一些错误。

这时就需要软件来进行“设计规则检查”(DRC)。

软件所依

据的是DRC文件,它与画版图时使用的规则是一致的,只不过规则文件是给版图设计

者参考使用的,而DRC文件是由软件编写的。

当版图没有了DRC错误,完全符合设计规则之后,再依靠LVS文件,将其与电路原理

图进行比较。

若有不同之处,LVS将进行报错,经过修改之后还要重复DRC、LVS过程。

若两者相同,说版图与原理图一致。

到这一步就完成了版图的制作了。

完成版图之后,

还可以利用工具提取版图中的寄生参数,对包含这些寄生参数的电路再次进行仿真,

从而更准确确定电路的性能。

最后把图形格式的版图文件转换为通用二进制文件(GDS文件),提交给生产厂制造。

3、二级运算放大器的原理图

我们要设计的是以上这个原理图所示的二级运算放大器。

其中二级运算放大器每个管子的参数如下表:

运算放大器框图:

 

二级运放结构原理:

输入级:

输入电阻高,能减小零点漂移和抑制干扰信号,都采用带恒流源的差分放大器。

中间级:

要求电压放大倍数高。

常采用带恒流源的共发射极放大电路构成。

输出级:

与负载相接,要求输出电阻低,带负载能力强,一般由互补对称电路或射极输出器构成。

偏置电路:

由镜像恒流源等电路组成

工作原理图:

4、器件尺寸的计算

5、二级运算放大器原理图

1.打开虚拟机,键入代码打开cadence软件。

2.选择tool-librarymanager-file-new-library来先建立一个库。

3.键入要设置的名字,按ok。

4.file-new-cellview,建立子元器件库,CellName键入名字czc_yuanlitu。

并且在ViewName选择schematic(一般默认为这个)。

Tool选择ComposerSchematic(一般也是默认的)。

最后点ok。

5.下边的是对各个cmos管的参数及位置、连线等进行编辑。

万变不离其宗,下边只介绍其中一个步骤,其他照葫芦画瓢就可以了。

在菜单栏点击add--instance,此时弹出对话框。

在此对话框选择browse。

选择工艺库L50C7--pmos_P--symbol.

选择nmos的话就在同一个工艺库中选择nmos_N--symbol.

选择电容:

L50C7--cap_CI--symbol.

选择电阻:

L50C7--res_M1--symbol.

6.当所有元器件都按以上步骤摆好了,然后就需要连线,按照原理图连线就可以了。

操作是:

add--wire(narrow),此时弹出对话框:

直接选择hide就可以连线了。

 

原理图完成后的效果:

7.连线完成后,还需要更改各个元器件的参数。

步骤如下:

选中要编辑的器件--点击左边的property,弹出对话框,只需要按图下表示更改四个指标就可。

6、二级运算放大器版图

最终结果图:

7.DRC验证及其操作

菜单栏选择Calibre--runDRC,然后选rules,在第一个框上选择路径,如图所示路径。

 

然后在左边选择inputs,files栏文件名正确就可以直接按左边菜单栏的”RunDRC”

结果如图示,显示出来的错误按所示位置进行修改,修改到没有出现错误为止。

 

心得体会:

这次版图实验中我做了与非门。

二级运放和基准电流源的设计。

在我做集成电

路版图设计过程的一开始分不清楚集成器件的工艺层次结构。

因此导致一开始画得

很困难。

后来经过慢慢的练习,使我慢慢的熟悉了器件的工艺层次结构。

在设计版

图的过程中。

对于工艺部分的尺寸调节这个环节是个相当繁琐的工作,不过在后来

的摸索中我使我熟悉了调节的规则。

方便了我在后来的版图设计与调试。

在画版图之前。

首先我们要画好原理图,然后仿真。

这有助于检验电路是否设

计正确和有助于在版图设计中如何连线。

在画版图时。

我们要注意的地方非常多。

例如管子的匹配,给器件画保护环,

布局布线等等。

由于一开始不熟悉。

所以很多地方画得不好。

后来慢慢的在老师和

同学的帮助下逐渐改进,逐步完善版图。

因为每个工艺库的工艺都不一样。

所以在

画版图之前应该了解工艺的尺寸。

这样可以更好帮助我们画版图,以减少错误。

认为学好半导体制造对画版图时非常有帮助的,因为它可以使你更好的理解怎么样

去画,可以很好的帮助你去解决错误。

本次实验中我的收获还是比较大的,初始设计出版图的时候错误非常多,违反

设计规则的也有很多内容,修改的过程也是头痛不已,一开始很多地方不懂,但是

在老师和同学们的帮助下,使我慢慢的了解设计规则和解决问题。

当所有问题解决

之后,心里有一种成就感。

因为觉得自己很多地方都画得不好,后来我就通过不断

的练习以提高和巩固老师说的知识。

版图设计的要点在于,在设计版图之前一定要

对电路的原理进行分析,同时也要根据电流的流向和器件的对称等因素综合考虑器

件的布局。

可以使用交叉匹配式的的电路,满足电路的设计业更加的美观。

通过本

次实践,我对版图设计的整个流程有了深刻的认识。

在这次版图实验中,不仅让我

学到了画版图的技巧。

还让我学会了做事要有耐心和细心,不能急于求成。

最后感

谢老师的指导和同学们的帮助。

 

参考文献:

1、王自强.CMOS集成放大器设计.国防工业出版社.2007.269~310

2、AlanHastings.王志功译.模拟电路版图艺术(第二版).电子工业出版社.2007

3、朱正涌.半导体集成电路.清华大学出版社.2000.282~285

4、饶妮妮.模拟电路基础.成都:

电子科技大学出版社.2001

5、SaintJ.Saintz著周润德金申美译.集成电路掩模设计——基础版图技术.

清华大学出版社.2012

6、PhillipE.Allen,DouglasR.Holberg著冯军李智群译.CMOS模拟集成电路设

计(第二版).电子工业出版社.2011

7、何乐年王忆模拟集成电路设计与仿真.科学出版社。

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1