八人表决器.docx

上传人:b****4 文档编号:3908354 上传时间:2022-11-26 格式:DOCX 页数:18 大小:822.70KB
下载 相关 举报
八人表决器.docx_第1页
第1页 / 共18页
八人表决器.docx_第2页
第2页 / 共18页
八人表决器.docx_第3页
第3页 / 共18页
八人表决器.docx_第4页
第4页 / 共18页
八人表决器.docx_第5页
第5页 / 共18页
点击查看更多>>
下载资源
资源描述

八人表决器.docx

《八人表决器.docx》由会员分享,可在线阅读,更多相关《八人表决器.docx(18页珍藏版)》请在冰豆网上搜索。

八人表决器.docx

八人表决器

河南城建学院

电子技术基础课程设计报告

 

题目:

表决器电路设计

 

姓名:

丁新

学号:

091412109

专业班级:

0914121

指导老师:

弓亚超

所在院系:

电气与信息工程学院

 

2014年6月19日

摘要

本次设计的八人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。

表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,表决结果栏上即显示出表决结果。

在八人表决器中8个人分别用手指拨动开关SW1、SW2来表示自己的意愿,如果对某决议同意,各人就把自己的开关SW1拨到高电平(上方),不同意就把自己的开关SW1拨到低电平(下方),弃权就把自己的开关SW2拨到高电平(上方)。

表决结果通过点亮封装在白色盒中的LED(高电平亮),从而显示出盒子外表的文字,分别为:

"同意","反对","弃权"。

在弃权人数少于三人(包括三人)的前提下,如果决议通过那么实验板上LED亮,显示"同意";如果不通过那么实验板上另一个LED亮,显示"反对";如果对某个决议有任意四人(不包括四人)同意,那么此决议通过;如果对某个决议只有四人以下(包括四人)同意,那么此决议不通过。

在弃权人数多于三人时,那么此次表决作废,等下一次再议。

此论文中对于表决器的设计具体可以分为三个部分,分别为:

"投票数的统计电路","决议的判断电路","表决的效果电路",他们的功能分别为:

通过74LS183双全加器的合理组合对同意和弃权的选票进行统计得出票数;通过使用74LS85数据比较器对得出的票数进行判断得出表决的结果;接收得出的表决结果输入效果电路从而通过文字反映表决的最终结果。

 

关键词:

投票系统系统;74LS183双全加器;数据比较器

目录

1概述3

2系统总体方案及硬件设计4

2.1八人表决器的概述4

2.1.1八人表决器的功能描述4

2.2组合逻辑电路的分析5

2.2.1组合逻辑电路的分析5

2.2.2分析组合逻辑电路的一般步骤5

2.3该表决器的设计思路6

3各模块设计7

3.1设计逻辑图7

3.1.1投票数的统计电路7

3.1.2决议的判断电路8

3.1.3表决的效果电路10

3.1.4八人表决器的全图……………………………………………………………11

4软件仿真12

3.2.1投票数的统计电路12

3.2.2决议的判断电路14

3.2.3表决的效果电路17

5课程设计体会………………………………………………………………………………19

参考文献

1概述

表决器(votingmachine),是投票系统中的客户端,是一种代表投票或举手表决的表决装置。

表决时,与会的有关人员只要按动各自表决器上“赞成”、“反对”、“弃权”的某一按钮,荧光屏上即显示出表决结果。

目前,表决器可分为有线表决器和无线表决器两大类,其中有线表决器已经退出此项市场,而无线投票表决器已成为市场主流。

系统功能

常规表决

  对会议各项议题进行“赞成”、“反对”、“弃权”表决。

与会人员表决后,计算机可统计出各项议题的得票数及百分数。

投票选择功能

  投票选择表决:

数字“0”至“9”,单选、多选等模式。

  若有选择问题的会议,某一问题有多个选项供大家选择,与会人员可按手持表决器的数字“1”至“9”,选择所支持的选项。

与会人员选择后,计算机可统计出各选项的得票数及百分数。

数字评分功能

  用于人员工作成绩评定、投标评表、项目最终成果评定、干部考核评定选拔、招聘人员评定、知识竞赛等。

利用题库功能,根据具体要求首先输入相应需评定内容,然后与会人员对评定内容,进行打分评定。

对评分结果有多种计算方式,客户可设定,例如:

是否去掉最高分、最低分等。

民主评议功能

  对工作人员的表现划分为:

“优秀”,“好”,“一般”,“差”,“很差”,利用题库功能,首先输入评定内容,可对某人的多项表现分别进行评定,无线手持表决器有对应“优秀”,“好”,“一般”,“差”,“很差”,按键。

 

2系统总体方案及硬件设计

2.1八人表决器的概述

2.1.1八人表决器的功能描述

在八人表决器中八个人分别用手指拨动开关SW1、SW2来表示自己的意愿,如果对某决议同意,各人就把自己的开关SW1拨到高电平(上方),不同意就把自己的开关SW2拨到高电平(上方),弃权就把自己的开关SW2拨到低电平(下方)。

表决结果通过点亮封装在白色盒中的LED(高电平亮),从而显示出盒子外表的文字,分别为:

"同意","反对","弃权"。

在弃权人数少于三人(包括三人)的前提下,如果决议通过那么实验板上LED亮,显示"同意";如果不通过那么实验板上另一个LED亮,显示"反对";如果对某个决议有任意四人(不包括四人)同意,那么此决议通过;如果对某个决议只有四人以下(包括四人)同意,那么此决议不通过。

在弃权人数多于三人时,那么此次表决作废,等下一次再议。

2.1.2八人表决器的逻辑功能

表决结果是建立在多数人决策地基础上的。

弃权人数不多于3人时

A0

A1

A2

A3

B0

B1

B2

B3

X(再议)

Y(同意)

Z(反对)

-

-

-

-

-

-

-

-

0

0

0

*

*

*

*

0

0

0

0

0

0

1

*

*

*

1

1

1

1

1

0

1

0

弃权人数多于3人

*

*

*

*

*

*

*

*

1

0

0

表2-1-1为八人表决器的真值表,

表格中A0~B3分别代表八人的投票结果,为表决器的输入项,且顺序不定。

X,Y,Z则代表三种不同的结果,为表决器的输出项,所指的意义分别为"再议","同意","反对"。

"*"表示状态不定。

考虑到八人表决器共有

种情况,根据以上的真值表可想而知,如果将X,Y,Z三个输出项用逻辑表达式表示会非常冗长,且不便于观察,想通过逻辑表达式得到逻辑电路图更是天方夜谭。

2.2组合逻辑电路的分析

2.2.1组合逻辑电路的分析

图2-2

此图为八人表决器整体逻辑电路图的逻辑结构。

首先表决者通过自己的抉择对开关进行操作,从而得到一系列高低电平。

这些高低电平依次接入表决器在左边的8个输入口,作为电路分析的基础信号。

然后将信号依次通过"投票数的统计电路","决议的判断电路","表决的效果电路"。

最后人们可以从表决的效果电路模块中直观、明显的看到表决后的结果。

2.2.2分析组合逻辑电路的一般步骤

⑴分析课程设计对制作八人表决器的要求,熟悉数值比较器的工作原理和使用方法;

⑵根据

(1)中的分析,得出八人表决器的真值表;

⑶通过以上分析得出可以将八人表决器逻辑电路图划分为三部分,分别为"投票数的统计电路","决议的判断电路","表决的效果电路"。

具体的逻辑电路的功能见3.1节或摘要;

⑷根据对设计要求的分析和真值表对逻辑电路进行分析和优化,从而最后确定其功能和电路结构;

2.3该表决器的设计思路

八人多数表决,只要在规定时间内,赞成人数大于或等于五,则表决通过。

因此,只需将每位表决人的结果相加,判断结果值。

设八个开关作为表决器的八个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。

输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。

当表决器的八个输入变量中有4个以上(不含4个)为“1”时。

则表决器输出为“1”;否则为“0”。

3各模块设计

3.1设计逻辑图

3.1.1投票数的统计电路

投票人通过SW1,SW2输入信号灯的开关,向A0~B3共8个输入端输入同意和反对的信号。

向C0~C7输入弃权的信号。

信号通过"投票数的统计电路"分别计算同意的信号值二进制数值,和弃权的信号值二进制数值。

已知74LS183双全加器的功能是在半加器的基础上,在将两个多位二进制数相加时,除了最低位以外,每一位都考虑来自地位的进位,即将两个对应位的加数和来自低位的进位3个数相加。

首先对8个信号利用4个74LS183对其两两相加得到4个两位二进制数。

接着利用2个74LS183组合成2位串行进位加法器,处理第一步得到的4个两位二进制数,得到2个三位二进制数。

最后利用3个74LS183组合成3位串行进位加法器,处理第二部得到的2个三位二进制数。

从以上一系列的数据处理最终得到输入信号的二进制数值,为四位二进制数。

具体电路连接见下图:

图3-1-1

3.1.2决议的判断电路

设计3.1.1中的电路目的是为了统计表决中同意数和弃权数(其中反对数可以通过对同意的状态求反而得到,故此处不在重复计算),利用这些数据可以再"决议的判断电路"中利用4为数值比较器74LS85,根据题设要求进行比较从未对表决情况进行分类,为下一步对决议结果进行效果显示做准备。

从电路图中可以看出S0~S3,D1~D4八个输入端,分别为同意信号的二进制数值和弃权信号的二进制数值。

X,Y,Z分别代表“弃权”、“同意”、“反对”的信号输出端口,用他们接入3.1.3中的三个输入端口。

对于“同意”的决议,有题设知,当弃权的人不多于3人时,得到超过半数的投票者的投票,表决结果才为同意。

反之为反对。

于是在电路中,首先对左边的数值比较器(同意信号的比较)的B3~B0端赋值为0100,对右边的数值比较器(弃权信号的比较)的B3~B0端赋值为0011。

其中高电平为接正5V的VCC,低电平为接地。

通过输入的数据和预存的数据进行比较,从而得到分类。

左边的数值比较器输出端OAGTB代表A的数值大于0100(即投“同意”的人数大于4人),OAEQB和OALTB的结合代表“反对”。

右边的数值比较器的输出端OAGTB代表A的数值大于0011(即投"弃权"的人数大于3人),表明此次表决无效,得"再议"。

OAEQB和OALTB的结合代表此次表决成立,可以进行下一步的处理。

它与左边表示“同意”和“反对”的输出端相连从而得到八人表决器的分类结果:

“同意”,“反对”。

具体电路见下图:

图3-1-2

 

3.1.3表决的效果电路

对于得到的三种结果的电平信号X,Y,Z分别输入进入此电路中,根据发光二极管的点亮与否,显示各自盒子上映的“再议”,“同意”,“反对”字样,从而实现设计要求。

具体电路细节见下图:

 

图3-1-3

3.1.4八人表决器的电路全图

图3-1-4

4软件仿真

4.1投票数的统计电路

图3-2-1-1

以上四图分别为测试S3,S2,S1,S0各位的电平变化情况。

由于逻辑转换器无法看到数据的全貌,故在此处只截取仿真结果的部分有用信息。

通过对数据的分析,S3位表示输入高电平之和二进制的第个位,S2,S1,S0依次类推分别为二进制数的十位,百位,千位。

从一、二两幅图中很容易验证此结论。

对于第三幅图,当输入信号到达00001111为二进制数0100时S1才为1,此前一直为0。

对于第四幅图,当且仅当输入信号到达11111111时,即二进制数1000时S0才为1,此前一直为0。

 

 

图3-2-1-2

此图为连接S3端测电平变化的电路图(此处接的是U11A的74LS183D输出端口6)。

图中右边四个引脚自下而上分别代表S3,S2,S1,S0。

4.2决议的判断电路

图3-2-2-1

以上三图分别为对X,Y,Z三个“决议的判断电路”的输出端进行仿真得到数据。

先看图一,已知X输出端表示决议是否有效,即输出的信号是否能够点亮LED从而显示“再议”字样。

当出现三人以上弃权时,输出为高电平。

通过数据得以验证。

再看图二,只有当不超过三人“弃权”时投“同意”票数多于四人,输出端Y输出为高电平。

通过数据得以验证。

最后看图三,只有当不超过三人“弃权”时投“同意”票数少于等于四人,输出端Z输出为高电平。

通过数据得以验证。

图3-2-2-2

 

此图为对输出端X进行仿真的电路原理图,逻辑转化器最右边的输入信号引脚接74LS85D的OAGTB(即输出端X)。

图3-2-2-3

此图为用逻辑转化器仿真“决议的判断电路”输出端Z的输出。

同上图连接Z.逻辑转化器其余引脚从左往右依次接U1的A0~A3和U2的A0~A3。

同理只须将输入端改为Y即可对输出端Y进行仿真,此处不再赘述。

 

4.3表决的效果电路

以下为仿真软件multisim11.0对“表决的效果电路”的方针结果。

图3-2-3

对比于第二章的电路图可看出发光二极管别点亮了。

但由于逻辑转换器工作速度之快,故无法截取在各种情形下的发光截图。

但在仿真中依然可以发现LED在不断地进行暗灭这个动作。

故此仿真实验成功。

 

5课程设计体会

通过这几天与搭档的不懈努力我们终于完成了表决器的设计、仿真,并且达到了预期的效果,实现了八人投票表决器的功能。

在本次课程设计过程中,我们遇到了很多问题。

在设计票数统计电路时,我们想了两套方案,一套是用全加器组成的逻辑电路分别统计赞同票数和弃权票数;另一套是用74LS160计数器分别统计赞同票数和弃权票数。

通过我们的讨论认为用计数器是输入信号可能会叠加或错乱,不利于进行票数统计,最终我们决定使用全加器。

在进行仿真实验时,我们首先分别对三部分电路进行仿真,克服了一些小问题后就实现了正确运行。

在进行总体电路仿真时,起先仿真结果总是错误,在经过我们仔细检查后发现问题出在第一部分与第二部分的连接上经过我们思考和调整之后终于正确运行。

通过这次课程设计我学到了很多,比如数据比较器的使能端A>B、A

并且锻炼了我的动手能力和思考能力。

希望学院以后能多给我们这样的实践机会。

 

 

参考文献

[1]阎石.数字电子技术基础[J].电气类,2006.05

[2]傅劲松.《电子制作实例集锦》[M].福建科技技术出版社,2006.

[3]廖先芸.《电子技术实践与训练》[M].高等教育出版社,2000.

[4]孙梅升.《电子技术基础课程设计》[M].高等教育出版社,2003.

 

方案2

工作原理:

投票时按下相应的键就可以将相应的信号送入输入转换部分,输入转换部分主要由计数器组成,它的作用是将投票时的并行数据加计数后输出至比较器电路,在控制电路控制下进行数值比较。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1