基于fpga技术的数字存储示波器设计.docx

上传人:b****6 文档编号:3653470 上传时间:2022-11-24 格式:DOCX 页数:44 大小:1.07MB
下载 相关 举报
基于fpga技术的数字存储示波器设计.docx_第1页
第1页 / 共44页
基于fpga技术的数字存储示波器设计.docx_第2页
第2页 / 共44页
基于fpga技术的数字存储示波器设计.docx_第3页
第3页 / 共44页
基于fpga技术的数字存储示波器设计.docx_第4页
第4页 / 共44页
基于fpga技术的数字存储示波器设计.docx_第5页
第5页 / 共44页
点击查看更多>>
下载资源
资源描述

基于fpga技术的数字存储示波器设计.docx

《基于fpga技术的数字存储示波器设计.docx》由会员分享,可在线阅读,更多相关《基于fpga技术的数字存储示波器设计.docx(44页珍藏版)》请在冰豆网上搜索。

基于fpga技术的数字存储示波器设计.docx

基于fpga技术的数字存储示波器设计

 

毕业设计(毕业论文)

 

系别:

电子与电气工程学院

专业:

电子信息工程技术

班级:

学生姓名:

学生学号:

设计(论文)题目:

基于FPGA技术的数字存储示波器设计

指导教师:

设计地点:

起迄日期:

毕业设计(论文)任务书

专业电子信息班级姓名

一、课题名称:

基于FPGA技术的数字存储示波器设计

二、主要技术指标:

(1)带宽:

100MHz

(2)垂直灵敏度:

10mv—5v/div

(3)水平灵敏度:

2.5ns—5s/div(4)输入阻抗:

1MΩ

(5)存储深度:

4KB(6)显示:

LED

(7)通道:

单通道等

三、工作内容和要求:

本设计的数据采集采用高速模/数转换器ADl674(A/D),直接用FPGA准确定时控制ADC的采样速率,实现整个频段的全速采样。

数据的存储采用双口RAM(UT62-256)存储采样量化后的波形数据,同样用FPGA控制RAM的地址线。

整个系统采用单通道的方式,信号进来首先经过前端的调理电路把信号电压调整到AD的输入电压范围之内,这里调节电路主要是由信号衰减电路和信号放大电路所组成。

调节后的信号再送到AD变换电路里面完成信号的数字化。

然后把AD转换后的数据送到FPGA中,并把数据保存到FPGA中的FIFO中,FPGA中的电路主要包括有FIFO、触发系统、峰值检测、时基电路等。

4、主要参考文献:

[1]杨刚、龙海燕.现代电子技术一VHDL与数字系统设计[M].北京:

电子工业出版社.2004.

[2]侯伯亨、顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:

两安电子科技人学.1999.

[3]潘松下、国栋帅.L实用教程[M].成都:

成都电子科技大学出版社.2000.

[4]潘松下、黄继业.EDA技术实用教程[M]北京:

科学出版社.2002.

[5]王振红.VHDL数字电路设计与应用实践教程[M].北京机械工业出版社.2003.

学生(签名)2010年5月7日

指导教师(签名)2010年5月10日

教研室主任(签名)2010年5月10日

系主任(签名)2010年5月12日

 

毕业设计(论文)开题报告

设计(论文题目)

基于FPGA技术的数字存储示波器设计

一、选题的背景和意义:

高速数字化采集技术和FPGA技术的发展已经对传统测试仪器产生了深刻的影响。

数字存储示波器(DS0)是模拟示波器技术、数字化测量技术、计算机技术的综合产物,它主要以微处理器、数字存储器、A/D转换器和D/A转换器为核心,输入信号首先经A/D转换器转换成数字信号,然后存储在RAM中,需要时再将RAM中的内容读出,经D/A转换器恢复为模拟信号显示在示波器上,或者通过接口与计算机相连对存储的信号作进一步处理,这样可大大改进显示特性,增强功能,便于控制和智能化。

这种DSO中看到的波形是由采集到的数据经过重构后得到的波形,而是加到输入端上信号的波形。

本文采用基于FPGA的方式进行数据采集、数据处理等功能的设计。

这种设计方案在高速数据采集上具有很多优点,如体积小、功耗低、时钟频率高、内部延时小、全部控制逻辑由硬件完成等,另外编程配置灵活、开发周期短、利用硬件描述语言来编程,可实现程序的并行执行,这将会大大提高系统的性能,有利于在系统设计和现场运行后对系统进行修改、调试、升级等。

二、课题研究的主要内容:

本设计的数据采集采用高速模/数转换器ADl674(A/D),直接用FPGA准确定时控制ADC的采样速率,实现整个频段的全速采样。

数据的存储采用双口RAM(UT62-256)存储采样量化后的波形数据,同样用FPGA控制RAM的地址线。

UT62-256具有相互独立的数据线、地址线、片选线和读/写控制线,它们可对RAM内部的存储单元分时进行读/写操作。

并且互不影响,解决了高速存储和读取的问题。

将所存储的信号通过数/模转换器AD767转换,用一台普通示波器显示。

该系统资源利用率较高,数据转换和存储采用独立集成芯片;系统控制以FPGA为核心,从而提高了系统的性能,且易于实现系统的升级。

三、主要研究(设计)方法论述:

根据设计指标要求,基于FPGA的系统结构主要南模数转换、数模转换、FPGA数据处理、数据存储四部分组成。

由垂直分辨率大于或等于32点/div可失NA/D、D/A转换器至少8位,系统选用AD976(16位A/D转换器)和AD669(16位D/A转换器),由于受PLCI/OH数量的影响,AD976和DA669使用其中13位,RAM选HM6264(64k),时钟采用125kHz,PLC选用EPFl0K10LC84—3。

模拟信号通过AID转换器将信号输入给FPGA,FPGA根据相关指令进行数据存储至RAM或将数据从RAM读出送给D/A转换器转换成模拟信号输出。

步骤:

1、硬件电路的设计与调试2、软件的设计及调试3、整体调试与测试

 

四、设计(论文)进度安排:

时间

工作内容

2010.5.7~2010.5.20

熟悉课题,明确任务要求,调研,收集资料。

2010.5.20~2010.6.1

研究本次毕业设计的思路,并制定框架。

2010.6.1~2010.6.15

根据框架内容完成设计论文初稿。

2010.6.15~2010.6.18

书写设计说明书

2010.6.18~2010.6.25

在指导老师的帮助下进行修改,进一步完善初稿最终完成设计。

2010.6.25~2010.7.3

祥实相关论点、论据,准备毕业设计的答辩。

五、指导教师意见:

            指导教师签名:

2010年5月10日

六、系部意见:

           系主任签名:

2010年5月11日

摘要

Abstract

第1章前言………………………………………………………………….1

1.1数字存储示波器的发展概况…………………………………………….1

1.2本文所做的研究工作…………………………………………………….1

第2章示波器的工作原理……………………………………………..3

2.1模拟示波器的基本工作原理…………………………………………….3

2.2数字存储示波器的工作原理…………………………………………….4

第3章DSP处理器和FPGA的开发过程简介…………………..5

3.1DSP处理器的开发过程和应用…………………………………………..5

3.2FPGA的开发过程与应用……………………………………………….6

第4章整体设计方案…………………………………………………….8

4.1系统整体设计流程图…………………………………………………….8

4.2整个系统的性能指标…………………………………………………….9

4.3系统的实现方案………………………………………………………….9

4.4元器件的选择…………………………………………………………...11

第5章整个系统硬件设计…………………………………………12

5.1前端数据采集部分硬件电路设计……………………………………...12

5.2FPGA外围电路的设计和内部逻辑电路设计………………………….17

5.3DSP部分的硬件设计……………………………………………………24

第6章系统软件设计…………………………………………………….29

6.1系统初始化………………………………………………………………29

6.2数据处理的相关算法……………………………………………………33

6.3波形显示程序……………………………………………………………35

第7章结束语……………………………………………………………….37

答谢辞

参考文献

摘要

数字存储示波器在仪器仪表领域中占有重要的地位,应用范围相当广泛,所以对示波器的研制有重要的理论和实际意义。

本文针对数字存储示波器的设计进行了深入的研究,旨在研制出100MHz带宽的数字存储示波器。

从各个方面考虑,选用了DSP、FPGA和单片机的方案来设计整个系统。

整个系统采用单通道的方式。

信号进来首先经过前端的调节电路把信号电压调整到AD的输入电压范围之内,这里调节电路主要是由信号衰减电路和信号放大电路所组成。

调节后的信号再送到AD变换电路里面完成信号的数字化。

然后把AD转换后的数据送到FPGA中,并把数据保存到FPGA中的FIFO中,FPGA中的电路主要包括有FIFO、触发系统、峰值检测、时基电路等。

由于本文采用FPGA,使得数字存储示波器的设计比较灵活,容易升级。

可以根据自己的需要进行相关的改进,例如对外围电路做进一步地扩展。

关键词:

DSP;FPGA;LCD;单片机;数字存储示波器

 

ABSTRACT

Digitalstorageoscilloscopesplayanimportantroleinthefieldofinstrumentation,ithasawiderangeofapplications,thedevelopmentoftheoscilloscopehasaveryimportanttheoreticalandpracticalsignificance.Inthispaper,wehavedoalotofworktothedesignofdigltalstorageoscilloscope.Thegoalisaimedatthedevelopmentoftherepeat100MHzbandwidthdigitalstorageoscilloscope.

Considereingfromvariousaspects,weselectDSP,FPGAandmicrocontrollertodesignthewholesystem.Thewholesystemissinglechannel.Thesignathatcomeinfromthefirstfront-endhavebeenchangedafitvoltagewhichputintoavoltagesignalAD.Front-endcircmtsheremainlyarecomposedofbysignalattenuationandsignalamplifiercircuit.Afterthefront-end,thesignalshavechangesthedigitalsignalthebyADcircuit.ThisdatahasbeensenttoFPGA,thedataissavedtotheFIFOintheFPGA.ThemaincircuitintheFPGA,includingFIFO,thetriggersystem,thepeakdetectioncircuit,time-asedcircuit,andsoon.

Atthesametime,theuseofFPGAmakesthedesignmoreflexible,andeasiertoupgrade,forexample,itispossibletoexpandextemalcircuitofoscilloscopes.

KEYWORDS:

DSP,FPGA,LCD,microcontroller,digitalstorageoscilloscope

第1章前言

示波器应用非常广泛,包括工业、军事、科研、教育领域都有很大的应用。

本章主要对示波器的国内外发展现状和本文所做的研究工作做简要的介绍。

1.1数字存储示波器的发展概况

以前的数字示波器的屏幕更新速率慢,无实时采集能力。

九十年代之后,示波器技术得到了飞速发展。

比如HP公司推出的54600B系列数字示波器克服这个更新速率慢的问题。

这样,输入信号变化,立即就可以看到显示的变化。

例如Tektronix公司的TDS684A型4通道1GHz的数字示波器采用了获专利的数字实时取样技术,并增加了转换率触发和建立与保持触发功能。

更新速度也更快。

同时泰克公司宣布的DP04000数字荧光示波器,该系列示波器系列拥有350MHz--1GHz的带宽,率先提供了突破性的WaveInspector技术。

在2005年下半年推出几种新型数字存储示波器,其中600MHz和1GHz两种带宽的示波器采用了安捷伦最新一代MegaZoom专利技术,具有最深的存储器和最多的集成通道数以及业内领先的波形观察能力。

目前一些国内厂商开始进军手持数字示波器这一高端领域。

虽然,从市场需要来看,20MHz带宽的数字存储示波器产品在市场中占有很大的比例。

一般20MHz的带宽可以满足很多人的需求。

面对这样的行业需求,所以国内示波器生产企业把产品性能设定在20MHz带宽、100MSa/s采样率。

采用双通道数据采集,一般是单色LCD显示。

尽管我国国产示波器处于起步阶段。

但是我国手持数字存储示波器的生产企业在其产品的研发过程中,除了有自己的独立研发中心外,同时也与国内高校进行资源整合,例如电子科技大学就通过与企业合作进行示波器的研发。

通过与高校实验室的技术与科研的交流与合作,加强研发团队的科研水平,进一步提高产品的竞争力。

1.2本文所做的研究工作

DSP是16位的RISC处理器,高性能、低功耗是其显著特点。

并被广泛应用于各种嵌入式领域。

比如在雷达信号处理,数字图像处理方面等等。

FPGA是复杂可编程逻辑器件,它具有速度快、稳定性高、设计灵活和价格低廉等许多优点。

DSP和FPGA都是现在非常流行的,其性价比也是非常的高。

也是两款技术非常成熟的芯片。

本文所做的研究工作就是利用这两款芯片进行数字存储示波器的研究和设计。

本文进行了底层硬件平台的研究设计、少量的软件驱动程序的设计和示波器的常用算法的研究工作。

例如,根据设计便携式数字存储示波器的实际需要,采用了DSP+FPGA+单片机的设计方案;研究了高频电路的设计方法,独立完成了整个系统的硬件电路设计,并对其中的某些功能模块进行了调试,给出了部分调试报告;研究了FFT、滤波、插值算法。

本文的目的是采用FPGA+DSP+单片机来设计一个100M(重复带宽)的数字示波器。

本设计中DC/100MHz的被测信号经过前端电路把信号调整到AD输入电压的范围之内,经过AD转换变成数字信号,送到DSP中进行相关处理,之后通过DSP多缓冲串口送到单片机中,再由单片机把要显示的数据显示到LCD中的这么一个过程,去实现信号波形的检测。

本文已经完成了示波器硬件平台的搭建,对前端模拟电路的某些部分做了一下改进,触发电路部分抛弃了传统的模拟触发方式,采用了全数字化的触发方式。

同时由于本文采用FPGA,使得数字存储示波器的设计较为灵活,容易升级,可以根据用户的需要实现电路的升级。

在软件方面,由于示波器的软件量是非常庞大的。

所以要想在很短的时间来完成它也是不可能的。

本文只是完成了硬件平台的部分驱动程序。

同时对示波器所要使用到的相关算法进行了相关的研究。

本课题是一个庞大的系统,其实践性很强,涉及知识非常多,受限于时间和个人的知识水平,尚存在以下不足之处需要以后加强。

不足之处有:

系统整体性能还有待进一步提高。

包括示波器的带宽、存储深度,尤其是存储深度有待进一步提高,同时DSP的存储器容量也有待提高。

整个系统LCD的更新速度有点慢,需要不断改进提高这个系统显示的更新速度。

 

第2章示波器的工作原理

2.1示波器的工作原理

了解示波器的工作原理是设计好示波器的第一步。

示波器可以分为模拟示波器、数字存储示波器二类。

下面对这两种示波器的工作原理作简要的介绍。

2.1.1模拟示波器的基本工作原理

模拟示波器工作方式是直接测量信号电压,并通过从左到右穿过示波器屏幕的电子束在垂直方向描绘电压。

示波器屏幕通常是阴极射线管(CRT)。

电子束投到荧幕的某处,屏幕后面总会有明亮的荧光物质。

当电子束水平扫过显示器时,信号的电压是电子束发生上下偏转,跟踪波形直接反映到屏幕上。

在屏幕同一位置电子束投射的频度越大,显示得也越亮。

设置垂直标度(对伏特/格进行控制)后,衰减器能够减小信号的电压,而放大器可以增加信号电压。

随后,信号直接到达CRT的垂直偏转板。

电压作用于这些垂直偏转板,引起亮点在屏幕中移动。

信号也经过触发系统,启动或触发水平扫描。

水平扫描是水平系统亮点在屏幕中移动的行为。

触发水平系统后,亮点以水平时基为基准,依照特定的时间间隔从左到右移动。

许多快速移动的亮点融合到一起,形成实心的线条。

图2-1给出了模拟示波器的体系结构图。

图2-1模拟示波器体系结构图

2.2数字(存储)示波器的工作原理

数字存储示波器不是将波形存储在示波管内的存储栅网上,而是存在存储器中,因而存储时间可以无限长。

数字存储示波器主要利用A/D转换技术和数字存储技术来工作,它能迅速捕捉瞬变信号并长期保存。

该示波器首先对模拟信号进行高速采样以获得相应的数字数据并存储,存储器中储存的数据用来在示波器的屏幕上重建信号波形;然后利用数字信号处理技术对采样得到的数字信号进行相关处理与运算,从而获得所需要的各种信号参数;最后,该示波器根据得到的信号参数绘制信号波形,并对被测信号进行实时、瞬态分析,以方便用户了解信号质量,快速准确地进行故障诊断。

数字存储示波器将输入模拟信号经过AD/转换,变成数字信号,储存在半导体存储器RAM中,需要时将RAM中存储的内容读出显示在LCD,或通过DA/转换,将数字信号变换成模拟波形显示在示波管上。

数字存储示波器可以采用实时采样,每隔一个采样周期采样一次,可以观察非周期信号川。

数字示波器的采样方式包括实时采样和等效采样(非实时采样)。

等效采样又可以分为随机采样和顺序采样,等效采样方式大多用于测量周期信号。

图2-2表数字示波器工作原理框图。

图2-2数字示波器工作原理框图

 

第3章DSP处理器和FPGA的开发过程简介

DSP在数字图像处理,音频信号处理等方面有着非常广泛的应用。

它以其专门的硬件乘法器,特殊的信号处理指令使得它高速的运算速度比最快的CPU还快上好几十倍。

FPGA是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA的使用非常灵活,同一片FPGA通过不同的编程数据可以产生不同的电路功能。

FPGA在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域得到了广泛应用。

本章主要是针对DSP、FPGA的发展情况和开发流出作简要的介绍。

3.1DSP处理器的开发过程和应用

随着DSP应用范围的扩大、处理能力的加强以及DSP更新速度的加快,DSP处理系统越来越复杂,对设计者来说难度也越来越大,为此有的厂家已产生出一定标准,依据标准来设计生产电路板的DSP处理模块,同时为这种标准模块提供丰富的软件开发系统和算法库。

其中典型的如TMS320C4X和SDSP2106X,它们可以通过通信口和全局总线插座,将若干个模块安装在母板上,方便地组成多处理器系统。

这种模块化设计降低了硬件设计难度,减少了硬件设计时间,有利于更高效的开发DSP系统。

目前各DSP芯片生产厂家已经把以上列出的各开发工具集成在一起,构成集成开发环境。

例如TI公司的CCSIDE(CodeComposerStudioIntegratedDevelopmentEnvironment)可以提供环境配置、源程序编辑、编译连接、程序调试、跟踪分析等各个环节,以加速软件开发进程,提高工作效率。

它把编译、汇编、链接等工具集成在一起,用一条命令即可完成全部的汇编工作。

另外把软、硬件开发工具集成在其中,使程序的编写、汇编、程序的软/硬件仿真和调试等开发工作在统一的环境中进行,给开发工作带来极大的方便。

3.1.1DSP处理器发展历程以及发展现状

DSP发展历程大致分为三个阶段:

70年代理论先行,大概在70年具备了完整的DSP的理论和算法基础。

80年代DSP产品开始普及,随着电子技术的高速发展,1982年世界上诞生了第一块DSP芯片,很快DSP在语音合成和编码解码器中得到广泛应用。

再加上CMOS工艺的诞生,使得DSP芯片的集成度性能都得到空前的提高,其存储容量和运算速度也成倍提高,其应用范围逐步扩大到通信、计算机领域。

90年代突飞猛进。

90年代相继出现了第四代和第五代DSP器件。

将DSP芯核及外围组件综合集成在单一芯片上。

同时DSP的价格也大幅度下降,使得DSP芯片不仅在高端的通信、计算机领域大显身手,而且在低端的家用电器等方面DSP也是应用越来越广泛。

3.1.2DSP处理器的具体开发流程

对于DSP开发来说,可以根据不同情况来决定是否要选择操作系统。

操作系统的使用可以在一定程度上缩短开发周期,但是操作系统对于实时性很高的场合来说就不一定合适。

而没有操作系统的开发方式相对来说可能比较复杂一些,需要用户对DSP的硬件架构,对DSP的外围电路的驱动等非常熟悉。

开发过程的时候,所有程序都是从硬件调试到初始化程序和应用程序都在CCS中进行开发。

需要操作系统的开发方式相对来说简单一些,但是如果加入了操作系统之后,由于操作系统可以屏蔽到硬件的相关细节,用户即使不了解硬件的相关细节也可以进行开发,使得用户可以把精力专门集中在应用程序的开发上来。

同时可以缩短开发周期。

DSP开发工具主要包括有:

C语言编译器(CCompiler)、汇编语言工具、汇编器(Assembler)、连接器(Linker)、归档器(Archive)、交叉引用歹愫(CrossReferenceLister)。

如果是C语言程序,首先是C语言编译器将程序编译成汇编语言源程序,然后送到汇编器里面进行汇编,汇编后产生COEF格式的目标代码,再用连接器进行连接,生成DSP上可以执行的COEF格式的目标代码。

然后就可以利用调试器对代码进行调试。

调试正确之后就可以把代码写入到Flash里面了。

开发流程图3-1所示:

图3-1DSP的开发流程

3.2FPGA的开发过程与应用

随着现场可编程逻辑器件越来越高的集成度,加上不断出现的I/O标准、嵌入功能、高级时钟管理的支持,使得现场可编程逻辑器越来越广泛。

3.2.1FPGA发展历程及现状

从Xilinx公司推出了世界上第一片FPGA(现场可编程逻辑芯片),FPGA已经历几十年的发展。

从最初的一千多可利用门,发展到90年代的几十万个可利用门,到十一世纪又陆续推出了几千万门的单片FPGA芯片。

FPGA使用灵活,适用性强,特别适用于复杂逻辑的设计,有利用电子系统小型化,而且其开发周期短、开发投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场。

3.2.2FPGA开发流程

FPGA开发流程可以分为如下几步:

①设计输入,设计输入主要包括原理图输入、状态图输入、波形图输入以及某种硬件描述语言,比如说是VHDL、Verilog的源程序。

它是利用这些输入去描述一个电路的功能。

②功

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1