基于VHDL的红外遥控编译码器毕业论文.docx

上传人:b****4 文档编号:3383807 上传时间:2022-11-22 格式:DOCX 页数:22 大小:133.91KB
下载 相关 举报
基于VHDL的红外遥控编译码器毕业论文.docx_第1页
第1页 / 共22页
基于VHDL的红外遥控编译码器毕业论文.docx_第2页
第2页 / 共22页
基于VHDL的红外遥控编译码器毕业论文.docx_第3页
第3页 / 共22页
基于VHDL的红外遥控编译码器毕业论文.docx_第4页
第4页 / 共22页
基于VHDL的红外遥控编译码器毕业论文.docx_第5页
第5页 / 共22页
点击查看更多>>
下载资源
资源描述

基于VHDL的红外遥控编译码器毕业论文.docx

《基于VHDL的红外遥控编译码器毕业论文.docx》由会员分享,可在线阅读,更多相关《基于VHDL的红外遥控编译码器毕业论文.docx(22页珍藏版)》请在冰豆网上搜索。

基于VHDL的红外遥控编译码器毕业论文.docx

基于VHDL的红外遥控编译码器毕业论文

1前言

目前市面上的编译码器多种多样,而其主要用于家电设备及一些电子产品当中,而红外遥控编译码器也是极其常见的,然而技术和经济的发展使得数字化趋势越来越强烈,很多时候已经不能满足用户要求。

红外遥控编译码器就是在普通编译码器的基础上,应市场需求而产生的,它能控制不同种类的设备,并且操作方便,深受顾客的欢迎,这也决定了红外遥控编译码器具有广阔的应用前景[9]。

红外遥控由于其发射和接收方便、结构简单、成本低、可靠性较高,因而早已在家用电器中得到广泛应用。

目前在智能仪器和工业控制系统中的应用也越来越广泛。

红外遥控本质属于红外信息传输的一种应用形式。

红外传输大体分为数据的传输和控制信息(指令)的传输二类。

数据的传输一般来说传输的信息量会较大,因此一般会要求有较高的传输速度,对于可靠性的要求则针对数据的具体应用而异,在计算机、PDA等设备上采用的IRDA界面就是这种应用的典型代表。

[12]发射二极管发射次数和频率对其使用寿命的影响,这种应用中发射系统的使用寿命是设计者需要考虑的因素之一。

控制信息传输的特点是信息量小,但是可靠性要求高。

目前广泛应用的红外遥控就属于这种情况。

VHDL的英文全Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年[2]VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个组件,一个电路模块或一个系统)分成外部(或称可是部分,及埠)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部接口后,一旦其内部开发完成后,其它的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

ModelSim是业界最优秀的混合HDL语言仿真调试环境,提供最佳的仿真性能和调试特征,成为众多ASIC和FPGA厂商推荐的仿真调试工具,也是广大FPGA、ASIC设计工程师实施混合HDL语言RTL级、门级电路仿真以及布局布线后时序仿真的首选。

全面支持所有HDL标准,支持广泛的建模技术,提供直观的图形用户界面运行方式,也支持高性能的批处理模式。

Quartus®II是Altera公司出品的优秀的设计工具,它兼容第三方设计工具,为高密度FPGA设计提供了最高效和最快速的实现途径,与传统高密度FPGA设计流程相比,其设计效能显著提高

1.1红外遥控编译码器的现状和发展趋势

编译码器其实离我们很近,像电视机的遥控器、手机、录音电话的远程提取留言等等,这些设备无一例外都和编译码电路有着直接的关系。

当今的编译码电路已经朝着高度集成化和微电脑化发展,像前几年大量使用的PT2262/2272编译码芯片组,就是一种相当典型和有着代表意义的电路。

该芯片组可以在3~15V的电压范围内可靠工作,外围仅需一时钟振荡电阻。

单体便可提供531441种寻址能力。

目前国外(主要是欧美市场)的编译码器几乎都是多功能的,它们能够控制全球的绝大部分电子产品。

而这些编译码器一般其价格一般都比较贵,达上百美金。

值得一提的是,目前全球的编译码器的80%是由中国大陆代工贴牌生产的,但关键芯片是别人的。

国外的编译码器主要通过科技商场等管道进行销售,消费者可以根据自己的需要进行选择,其发展趋势是根据市场的需要编译码器拥有更多的功能和更人性化的设计。

而在国内市场,编译码器器绝大部分是单一型的,这与我国经济状况和消费习惯有关。

红外遥控编译码器只是单一型的编译码器的补充,在一般的主流管道是没有红外遥控销售的,许多消费者也就不知道有或者如何购买红外遥控编译码器,因此红外遥控编译码器的销量是相对很少的。

也缘于此编译码器的质量是参差不齐的,大部分使用不方便,或者名为红外遥控编译码器但对很多设备却难以控制。

但正如西方的情况,我国也会经历从使用单一型遥控器走向多功能的编译码器,尤其是在信息化迅速发展的情况下,编译码器有着广阔的需求和发展空间。

启动这一块市场的关键是使红外遥控编译码器确实好用,能够完全替代单一型遥控器的功能以及有效的市场推广。

1.2本设计的特点

因为VHDL工具软件平台的强大功能,使得设计的许多工作都能在计算机上以软件仿真的形式完成,这样不仅可以大大缩短产品的开发周期,还可以随时根据实际需要更改或者添加新的功能,设计模式更加灵活。

从而他为各类红外控制应用系统提供了一类实用的参考。

选用集成专用编译码器件,此器件成功地研制出了多信道红外遥控系统。

专用芯片设计制作红外发射和接收,并实现编译码功能,该电路为CMOS大规模数字集成多路编译码器。

该生产线有各种大电机设备,环境电磁辐射强,又处于南方高温、高湿地区,对遥控系统整体性能要求很高。

在综合分析的基础上,我们采用红外线编码方式设计,使系统具备了良好的抗电磁干扰的能力。

通过对系统电路、组装进行的精心设计处理,获得了稳定、可靠的使用效果,目前已经在全自动生产线无故障运行。

编码器PT2248发射控制器由电源部分、振荡电路及红外发射管组成。

1.3本论文的设计任务及结构

本题要求采用合适的红外遥控专用芯片,制作多路红外遥控系统的编码器,其译码器采用VHDL语言编程、可编程逻辑器件实现,完成多路红外遥控系统的设计。

主要技术要求:

1.设计完成多路红外遥控系统的编码发送部分;

2.设计完成多路红外遥控系统的接收部分;

3.用VHDL语言编程、可编程逻辑器件实现其译码电路部分;

4.完成系统输出显示部分。

本设计的任务主要完成红外遥控编译码器的系统架构设计以及关键技术问题上的解决办法;用VerilongHDL语言对红外遥控编译码器的进行解码。

接收解码用VHDL语言编写程序,在EDA实验板上实现解码,要求具有以下功能:

(a)将一体化红外接收解调器的输出信号解码(12个单击键、6个连续键,单击键编号为7-18,连续键编码为1-6),在EDA实验板上用七段数码管显示出来;

(b)当按下遥控器1-6号连续键时,在EDA实验板上用发光二极管点亮作为连续键按下的指示,要求遥控器上连续键接下时指示灯点亮,直到松开按键时才熄灭,用于区别单击键。

(c)EDA实验板上设置四个按键,其功能等同于遥控器上的1-4号按键,当按下此四个按键时七段数码管分别对应显示“1”、“2”、“3”、“4”。

(d)每当接收到有效按键时,蜂鸣器会发出提示音。

(e)通过遥控器跳线改变用户码,EDA实验板上用三个发光二极管正确显示发送端的用户码。

本论文分为六章:

第一章概要阐述红外遥控编译码器的现状和本设计的特点。

第二章对VHDL语言的介绍。

第三章主要阐述红外遥控系统结构与控制原理。

第四章介绍关于编码与译码所选择的器件的特点。

第五章详细说明红外遥控编译码器的设计以及硬件实现。

第六章总结。

1.4本章小结

本章是对我所做的是设计——基于vhdl的红外遥控编译码器的总体概述,分析了当下国内和国外的红外遥控编译码器的发展和现况,介绍了本设计内容的特点,列出了本设计的任务。

 

2系统的软件设计

2.1VHDL语言的介绍

VHDL的英文全写是:

VHSIC(Very High Speed Integrated Circuit)HardwareDescriptiongLanguage。

翻译成中文就是超高速集成电路硬件描述语言。

因此它的应用主要是应用在数字电路的设计中。

目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。

当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

关于用VHDL和原理图输入进行CPLD/FPGA设计的粗略比较:

在设计中,如果采用原理图输入的设计方式是比较直观的。

你要设计的是什么,你就直接从库中调出来用就行了。

这样比较符合人们的习惯。

但是这样做需要设计人员要在两方面有较高的素质:

1.对电路的知识要比较丰富。

 

2.对CPLD/FPGA的结构比较熟悉。

 

有了这两个条件才能在设计的过程中选用适当的器件从而提高设计的可靠性、提高器件的利用率及缩短设计的周期。

但是有一个重大的问题是在于,如果你的产品有所改动,需要采用另外的CPLD/FPGA时,你将需要重新输入原理图。

(改用不同的器件在今天这种竞争环境下是会经常发生的。

头儿们为了提高产品的性能或者是降低产品的造价,提高保密性等等,都会考虑选用不同的器件。

对他们而言只是做出一个决定,对我们而言却是要我们付出更多的心血)。

但是当你采用VHDL等高级语言来设计时这些问题都会得到较好的解决。

由于在使用VHDL等高级语言时,有专用的工具来实现将语言描述的电路功能转换为实际的电路所以你就用不着对底层的电路很熟悉,也用不着对CPLD/FPGA的结构很熟悉(因为有专用的工具针对你的描述采用相应的器件哦)。

当你要换器件时,你只需要将原来设计好的VDHL文件在新器件的设计工具中再次实现就行了。

用高级语言设计电路的流程:

在用高级语言来设计电路时,主要的过程是这样的:

1.使用文本编辑器输入设计源文件(你可以使用任何一种文本编辑器。

但是,为了提高输入的效率,你可以用某些专用的编辑器,如:

Hdl Editor,Tubor Writer或者一些EDA工具软件集成的HDL编辑器)。

 

2.使用编译工具编译源文件。

HDL的编译器有很多,ACTIVE公司,MODELSIM公司,SYNPLICITY公司,SYNOPSYS公司,VERIBEST公司等都有自己的编译器。

 

3.(可选步骤)功能仿真。

对于某些人而言,仿真这一步似乎是可有可无的。

但是对于一个可靠的设计而言,任何设计最好都进行仿真,以保证设计的可靠性。

另外,对于作为一个独立的设计项目而言,仿真文件的提供足可以证明你设计的完整性。

 

4.综合。

综合的目的是在于将设计的源文件由语言转换为实际的电路。

但是此时还没有在芯片中形成真正的电路。

这一步就好像是把人的脑海中的电路画成原理图。

--这是我的个人观点,似乎在好多文献中都没有提到“综合”的准确定义。

至少,我读过的几本书中就没有。

这一部的最终目的是生成门电路级的网表。

 

5.布局、布线。

这一步的目的是生成用于烧写(编程Programming)的编程文件。

在这一步,将用到第4步生成的网表并根据CPLD/FPG厂商的器件容量,结构等进行布局、布线。

这就好像在设计PCB时的布局布线一样。

先将各个设计中的门根据网表的内容和器件的结构放在器件的特定部位。

然后,在根据网表中提供的各门的连接,把各个门的输入输出连接起来。

最后,生成一个供编程的文件。

这一步同时还会加一些时序信息到你的设计项目中去,以便与你做后仿真。

6.后仿真。

这一步主要是为了确定你的设计在经过布局布线之后,是不是还满足你的设计要求。

如果设计的电路的时延满足要求的话,则就可以到第7步啦!

7.烧写器件(编程)。

软件的部分应该完成对所设计的下位机硬件红外码接收电路的实现,即完成对红外码的数据采集功能。

需要选择合理的开发工具和高级语言,本论文选择VHDL语言。

2.2VHDL语言的优点

VHDL语言是国际上流行的、很有发展前途的计算机高级语言。

VHDL语言的优点:

(1)语言简洁、紧凑、使用方便、灵活。

程序书写形式自由,主要为小写字母表示,压缩了一切不必要的成分。

(2)运算符丰富。

VHDL语言的运算符包含的分为很广.

(3)数据结构丰富,具有现代化的各种数据结构。

(4)具有结构化的控制语句。

能很好的使用函数来实现程序的模块化。

(5)语法限制不太严格,程序的设计自由度大。

(6)VHDL语言允许直接访问物理地址,能进行为操作,能实现汇编语言的大部分

功能,可以直接对硬件进行操作。

(7)生成目标代码质量高,执行效率高。

2.3函数的流程

数字系统的设计方法从整体和局部的先后顺序上分,可以分为自顶向下(top-down)的设计和自底向上(bottom-up)的设计。

这里采用自顶向下的设计,首先从整体上规划整个系统的功能和性能,然后对系统划分,分解为规模较小、功能较为简单的局部并确立它们之间的相互关系。

主函数作为软件的入口,合理的配置单片机的特殊功能寄存器,以合理的工作方式实现数据采集和固定的波特率进行串口通信。

主函数的工作流程:

 

定时器初始化

等待红外码

中断服务程序

系统初始化

返回

图2-3主函数的工作流程

面向对象的编程语一言将客观事物看作具有属性和行为的对象,通过抽象找出同一类对象的共同属性和行为形成类。

结构化程序设计的思路是:

自顶而下、逐步求精;其过程结构是按功能划分为若干个基本模块,这些模块形成一个树状结构;各模块之间的关系尽可能简单,在功能上相对独立;每一模块内部均是由顺序、选择和循环三种基本结构组成;其模块化实现的具体方法是使用子程序。

而面向对象的方法:

将数据及对数据的操作方法放在一起,作一个相互依存、不可分离的整体。

部分程序:

libraryieee;

useieeestd-logic-l164all;

entityselsis

port(d0,d1,d2,d3,a,b:

instd_logic:

out1outstd_logic):

endsels;

architecturesels_arcofselsis

signalsel:

std_logic_vector(1downto0):

begin

sel<=b&a:

outl<=d0whensel="00"else

dlwhensel="01"else

d2whensel="10''else

d3whensel="l1"else

'Z':

End

如输入端为低电平,则仿真结果中temp_high为'0',temp_low为'1'。

反之,temphigh为‘1’templow为‘0’根据temp_high和temp_low的值来决定当前的工作状态,并给出相应的制输出信号。

可见程序是相当简单而且逻辑清晰的,这种自顶向下的设计方法使一个大型的系统设计分解为若干个可操做的模块,易于分工合作,并且可以对这些模块分别进行模拟仿真。

由于设计的主要模拟仿真是在高层上实现的.所以能及早地发现系统中的错误并改正,提高设计的效率。

2.4本章小结

本章的主要内容是对软件系统的设计,给出了函数的流程图及部分程序,说明了软件系统在本设计中的应用和作用,主要对vhdl语言做了细致的描述,它的优点给本设计带来极大的方便。

3红外遥控控制原理

3.1红外

红外光的有效传送距离正比于驱动峰值功率,红外发射二极管为电流型器件,其功率与所通过的峰值电流成正比,为了增加传输距离,可加大峰值驱动电流。

选用不同功率的发射管,遥控距离可从几米到几十米。

红外光发射的指向性较强,作用角度较小。

其指向性与发射二极管的封装形状也有关系,球面形封装就比平面形封装的指向性强。

要改善接收范围,在选用合适的封装形式的器件基础上,还可采用多个发射管串联或并联,并间隔一定距离并列安装的方式。

红外光是波长比红色光的波长(0.76m)还长的光波。

将电磁波谱中间隔为0.76/1000pm的波谱段称为红外光谱区。

一般将红外光谱分为四个区域,即近红外(0.76-3.0pm)、中红外(3.0-6.0pm)、中远红外(6.0-20pm)、远红外(20-1000“m)区。

目前工业或民用的红外光探测遥控中所使用的红外光谱主要集中在0.76-1.60/m的近红外区[4]。

采用近红外光作为红外探测遥控的光源,主要因为:

(1)一般的接收用的光电二极管、光敏三极管大都采用硅半导体材料制作而成,这类管子的接收峰值波长为780-155Onm,即管子对波长为780-1550nm的红外光的探测灵敏度最高。

(2)红外光发射器件,其发射波长在880~17O0mn范围内,这与光电接收器件的响应波长相匹配,使探测灵敏度高,工作效率高[3][8]。

3.2红外遥控原理

3.2.1识码与编码

一个红外遥控系统一般由红外遥控器和红外接收器、微控制器及一些外围电路组成[12]。

其中红外发送器用来产生与指令/按键对应的控制信号,并在信号发射前预先对指令或按键信号进行识别或编码,并经过调制以串行数据的形式发送出去。

其常用的识别或编码方式有两种:

即频分制和码分制。

频分制就是以不同频率的信号代表不同的指令或按键。

遥控信号的频率范围在几百赫兹到几千赫兹之间。

这样识别编码方式可以使发送出去的遥控信号抗干扰能力强,但由于不同的指令或按键要占不同的频带,所以在遥控指令集比较复杂的场合它需要较多的遥控通道,也就使要占更多的频率资源。

而这种方法只适合指令集简单的场合。

当指令集复杂时,一般采用码分制。

码分制红外遥感是以不同的脉冲或者脉冲组合来代表不同的指令按键。

与频分制相比码分制电路简单,使用灵活,在实际应用中多彩用这样的方式。

编码方式主要有频率调制、脉宽调制和脉位调制,实际上各种红外系统的主要区别在于编码方式的不同。

为了要达到远距离遥控,除了增加发射机的发射功率外,还采取了增加发射扇区的措施,并对接收机接收到的红外控制信号进行了一定的聚焦处理,使达到接收机较分散的红外光束能聚焦于一个较小的面积,以提高接收功率,增强接收效果。

为了解决全自动生产线的控制问题,选用集成专用编码器件PT2248成功地研制出了多信道红外遥控系统。

该生产线有各种大电机设备,环境电磁辐射强,又处于南方高温、高湿地区,对遥控系统整体性能要求很高。

在综合分析的基础上,我们采用红外线编码方式设计,使系统具备了良好的抗电磁干扰的能力。

通过对系统电路、组装进行的精心设计处理,获得了稳定、可靠的使用效果,目前已经在全自动生产线无故障运行。

多信道遥控系统由发射控制器和接收控制器组成,可以实现一个控制发射机编码控制多个控制点的目的,非常适合自动控制的各种场合,也可以应用于防盗报警等,具有广泛的推广使用价值。

红外遥控作为一种单向红外通讯技术,因其具有性能稳定、使用方便以及成本低廉等特点,已经在消费类电器中得到普遍的应用.随着对电器产品的智能化和使用便利性要求的进一步提高,红外遥控的应用已开始从其传统的家用电器领域向智慧设备等新领域扩展。

由于集成电路制造工艺和设计水平的不断提高,将此类传统的分立电路功能集成到嵌入式的系统中已成为可能。

本文根据嵌入系统的要求进行了红外遥控器控制系统的设计.设计中脉冲信号调制采用了PPM方式,红外遥控器的控制系统采用了超高速集成电路硬件描述语言(VeryHighspeedintegratedcircuithardwareDescriptionLanguage,VHDL),并通过现场编程门阵列器件(FieldPvogrammableGateArrays,FPGA)实现系统能。

3.2.2设计方案

方案一:

该系统选取PT2248作为构成发送器的芯片[7]。

大可用作18路红外遥控系统的编码,只需外接3×6的矩阵式按键、红外发光二极管及其驱动电路等少量元器件便可完成编码发送的功能。

有2个电容均为120pF,晶振频率为455kHz。

另外,PT2248内部己集成了38kHz的红外载波振荡及相应的数字脉码调制电路,他的编码方式采用脉位调制(PPM),将遥控指令编码成脉冲序列,然后再调制以高频信号,最后以红外光的形式发送出去。

其遥控指令的‘1’是以占空比为3/4的正脉冲表示,‘0’则用占空比为1/4的正脉冲表示,其中一个为位码周期的1/4,一个a占有的时间长度为PT2248内部红外载波振荡周期的16倍,即a=16/(38kHz)。

由于PT2248采用了38kHz载波振荡及脉码调制电路,因而接收端采用频率与之对应的MIM-R1AA红外一体化接收解调器。

MIM-R1AA对接收到的信号除了进行了放大、限幅、检波,直至得到遥控指令的脉冲信号外,还对解调出的信号进行了整形和反向输出[10]。

方案二:

选用集成专用编译码器件ED5026,此器件成功地研制出了多通道红外遥控系统。

专用芯片设计制作红外发射和接收,并实现编译码功能,该电路为CMOS大规模数字集成多路编译码器。

其中ED5026为编码器。

该生产线有各种大电机设备,环境电磁辐射强,又处于南方高温、高湿地区,对遥控系统整体性能要求很高。

在综合分析的基础上,我们采用红外线编码方式设计,使系统具备了良好的抗电磁干扰的能力。

通过对系统电路、组装进行的精心设计处理,获得了稳定、可靠的使用效果,目前已经在全自动生产线无故障运行。

编码器ED5026可作三态编码,也可以作四态编码。

译码器部分有相应的12位编码线。

发射控制器由电源部分、PLC编码控制器、光电隔离电路、集成编码器、振荡电路及红外发射管组成。

基于对以上两种方案的分析,本课题决定选用方案一。

因为方案二不仅设计起来便捷,在技术方面也远超乎于方案一。

3.3红外发送系统的基本组成

3.3.1整体结构

PT2248作为构成发送器的芯片。

整个系统框图及外围电路中,两个电容均为120pF,晶振频率为455kHz。

PT2248最大可用作18路红外遥控系统的编码,其内部已集成了38kHz的红外载波振荡及相应的数字脉码调制电路。

故在设计时,只需外接3×6的矩阵式按键、红外发光二极管及其驱动电路等少量元器件便可完成编码发送功能。

由于PT2248采用了38kHz载波振荡及脉码调制电路,因而接收端采用频率与之相应的MIM-RIAA红外一体化接受解调器。

而解调出来的基带信号解码则由CPLD来实现。

PT2248的编码方式采用脉位调制(PPM)方式来将遥控指令编码成脉冲序列,然后再调制以高频信号,最后一红外光的形式发送出去。

其遥控指令‘1’以占空比为3/4的正脉冲表示,‘0’则用占空比为1/4的正脉冲表示。

其中a为位码周期的1/4,一个a占空的时间长度为PT2248内部红外载波振荡周期的16倍,即a=16/(38kHz)。

另外,MIM-RIAA对接收到的信号除了进行放大、限幅、检波,甚至知道了遥控指令的脉冲信号外,还会对解调出的信号进行整形和反向输出。

一般,遥控器的每个按键编码都是由12位遵照以上编码规则所代表的“0”、“1”组成,时间长度为12×4a=48a。

这样,当按下遥控器的7到18号单击按键时,系统将以12位为一组发送两次编码,其中60a为自按下按键到发送编码的等待时间,80a是重复发送12位48a编码的间隔时间,接收解调器在此时的输出和没有收到红外编码一样(为高电平)。

而7到18号单击按键无论发送端按键时间持续多长,都只发送一次这样形式的两组相同的12位编码。

当按下1到6号连续按键时,编码格式连续发送。

3.3.2红外发射方式

红外遥控信号的发射由编程控制器、指令编码、信号的调制及红外发射四部分组成。

每一部分既可由具有相应功能的芯片分步完成,也可以由专用芯片或MCU一次完成[2]。

使用发光二极管获得红外光是相当简便的。

红外发光二极管是一种NP结构成的注入电流型发光器件,加上合适的正向偏置电压后,就可

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1