数字IC设计笔试面试经典100题.pdf

上传人:b****2 文档编号:3216384 上传时间:2022-11-20 格式:PDF 页数:26 大小:952.24KB
下载 相关 举报
数字IC设计笔试面试经典100题.pdf_第1页
第1页 / 共26页
数字IC设计笔试面试经典100题.pdf_第2页
第2页 / 共26页
数字IC设计笔试面试经典100题.pdf_第3页
第3页 / 共26页
数字IC设计笔试面试经典100题.pdf_第4页
第4页 / 共26页
数字IC设计笔试面试经典100题.pdf_第5页
第5页 / 共26页
点击查看更多>>
下载资源
资源描述

数字IC设计笔试面试经典100题.pdf

《数字IC设计笔试面试经典100题.pdf》由会员分享,可在线阅读,更多相关《数字IC设计笔试面试经典100题.pdf(26页珍藏版)》请在冰豆网上搜索。

数字IC设计笔试面试经典100题.pdf

11:

什么是同步逻辑和异步逻辑?

(汉王):

什么是同步逻辑和异步逻辑?

(汉王)同步逻辑是时钟之间有固定的因果关系。

异步逻辑是各时钟之间没有固定的因果关系。

同步时序逻辑电路的特点:

各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。

改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x有无变化,状态表中的每个状态都是稳定的。

异步时序逻辑电路的特点:

电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。

2:

同步电路和异步电路的区别:

同步电路和异步电路的区别:

同步电路:

存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:

电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。

3:

时序设计的实质:

时序设计的实质:

时序设计的实质就是满足每一个触发器的建立/保持时间的要求。

4:

建立时间与保持时间的概念?

建立时间与保持时间的概念?

建立时间:

触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。

保持时间:

触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。

5:

为什么触发器要满足建立时间和保持时间?

为什么触发器要满足建立时间和保持时间?

因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。

这就是为什么要用两级触发器来同步异步输入信号。

这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。

(比较容易理解的方式)换个方式理解:

需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。

6:

什么是亚稳态?

为什么两级触发器可以防止亚稳态传播?

什么是亚稳态?

为什么两级触发器可以防止亚稳态传播?

这也是一个异步电路同步化的问题。

亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。

使用两级触发器来使异步电路同步化的电路其实叫做“一位同步器”,他只能用来对一位异步信号进行同步。

两级触发器可防止亚稳态传播的原理:

假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足其建立保持时间。

同步器有效的同步器有效的条件:

第一级触发器进入亚稳态后的恢复时间条件:

第一级触发器进入亚稳态后的恢复时间+第二级触发器的建立时间第二级触发器的建立时间=时钟周期时钟周期。

2更确切地说,输入脉冲宽度必须大于同步时钟周期与第一级触发器所需的保持时间之和。

最保险的脉冲宽度是两倍同步时钟周期。

所以,这样的同步电路对于从较慢的时钟域来的异步信号进入较快的时钟域比较有效,对于进入一个较慢的时钟域,则没有作用。

7:

系统最高速度计算(最快时钟频率)和流水线设计思想:

系统最高速度计算(最快时钟频率)和流水线设计思想:

同步电路的速度是指同步系统时钟的速度,同步时钟愈快,电路处理数据的时间间隔越短,电路在单位时间内处理的数据量就愈大。

假设Tco是触发器的输入数据被时钟打入到触发器到数据到达触发器输出端的延时时间(Tco=Tsetpup+Thold);Tdelay是组合逻辑的延时;Tsetup是触发器的建立时间。

假设数据已被时钟打入D触发器,那么数据到达第一个触发器的输出端需要的延时时间是Tco,经过组合逻辑的延时时间为Tdelay,然后到达第二个触发器的端,要希望时钟能在第二个触发器再次被稳定地打入触发器,则时钟的延迟必须大于TcoTdelayTsetup,也就是说最小的时钟周期Tmin=TcoTdelayTsetup,即最快的时钟频率Fmax=1/Tmin。

FPGA开发软件也是通过这种方法来计算系统最高运行速度Fmax。

因为Tco和Tsetup是由具体的器件工艺决定的,故设计电路时只能改变组合逻辑的延迟时间Tdelay,所以说缩短触发器间组合逻辑的延时时间是提高同步电路速度的关键所在。

由于一般同步电路都大于一级锁存,而要使电路稳定工作,时钟周期必须满足最大延时要求。

故只有缩短最长延时路径,才能提高电路的工作频率。

可以将较大的组合逻辑分解为较小的N块,通过适当的方法平均分配组合逻辑,然后在中间插入触发器,并和原触发器使用相同的时钟,就可以避免在两个触发器之间出现过大的延时,消除速度瓶颈,这样可以提高电路的工作频率。

这就是所谓流水线技术的基本设计思想,即原设计速度受限部分用一个时钟周期实现,采用流水线技术插入触发器后,可用N个时钟周期实现,因此系统的工作速度可以加快,吞吐量加大。

注意,流水线设计会在原数据通路上加入延时,另外硬件面积也会稍有增加。

8:

时序约束的概念和基本策略?

时序约束的概念和基本策略?

时序约束主要包括周期约束,偏移约束,静态时序路径约束三种。

通过附加时序约束可以综合布线工具调整映射和布局布线,使设计达到时序要求。

附加时序约束的一般策略是先附加全局约束,然后对快速和慢速例外路径附加专门约束。

附加全局约束时,首先定义设计的所有时钟,对各时钟域内的同步元件进行分组,对分组附加周期约束,然后对FPGA/CPLD输入输出PAD附加偏移约束、对全组合逻辑的PADTOPAD路径附加约束。

附加专门约束时,首先约束分组之间的路径,然后约束快、慢速例外路径和多周期路径,以及其他特殊路径。

9:

附加约束的作用?

附加约束的作用?

1:

提高设计的工作频率(减少了逻辑和布线延时);2:

获得正确的时序分析报告;(静态时序分析工具以约束作为判断时序是否满足设计要求的标准,因此要求设计者正确输入约束,以便静态时序分析工具可以正确的输出时序报告)3:

指定FPGA/CPLD的电气标准和引脚位置。

10:

FPGA设计工程师努力的方向:

设计工程师努力的方向:

SOPC,高速串行I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。

随着芯片工艺的提高,芯片容量、集成度都在增加,FPGA设计也朝着高速、高度集成、低功耗、高可靠性、高可测、可验证性发展。

芯片可测、可验证,正在成为复杂设计所必备的条件,尽量在上板之前查出bug,将发现bug的时间提前,这也是一些公司花大力气设计3仿真平台的原因。

另外随着单板功能的提高、成本的压力,低功耗也逐渐进入FPGA设计者的考虑范围,完成相同的功能下,考虑如何能够使芯片的功耗最低,据说altera、xilinx都在根据自己的芯片特点整理如何降低功耗的文档。

高速串行IO的应用,也丰富了FPGA的应用范围,象xilinx的v2pro中的高速链路也逐渐被应用。

11:

对于多位的异步信号如何进行同步?

对于多位的异步信号如何进行同步?

对以一位的异步信号可以使用“一位同步器进行同步”(使用两级触发器),而对于多位的异步信号,可以采用如下方法:

1:

可以采用保持寄存器加握手信号的方法(多数据,控制,地址);2:

特殊的具体应用电路结构,根据应用的不同而不同;3:

异步FIFO。

(最常用的缓存单元是DPRAM)12:

FPGA和和CPLD的区别?

的区别?

CPLDFPGA内部结构Productterm(基于乘积项)LookupTable(基于查找表)程序存储内部EEPROM/FLASHSRAM,外挂EEPROM资源类型组合逻辑资源丰富时序逻辑资源丰富集成度低高使用场合完成控制逻辑能完成比较复杂的算法速度慢快?

其他资源PLL、RAM和乘法器等保密性可加密一般不能保密13:

锁存器(:

锁存器(latch)和触发器()和触发器(flip-flop)区别?

)区别?

电平敏感的存储器件称为锁存器。

可分为高电平锁存器和低电平锁存器,用于不同时钟之间的信号同步。

有交叉耦合的门构成的双稳态的存储原件称为触发器。

分为上升沿触发和下降沿触发。

可以认为是两个不同电平敏感的锁存器串连而成。

前一个锁存器决定了触发器的建立时间,后一个锁存器则决定了保持时间。

14:

FPGA芯片内有哪两种存储器资源?

芯片内有哪两种存储器资源?

FPGA芯片内有两种存储器资源:

一种叫BLOCKRAM,另一种是由LUT配置成的内部存储器(也就是分布式RAM)。

BLOCKRAM由一定数量固定大小的存储块构成的,使用BLOCKRAM资源不占用额外的逻辑资源,并且速度快。

但是使用的时候消耗的BLOCKRAM资源是其块大小的整数倍。

15:

什么是时钟抖动?

什么是时钟抖动?

时钟抖动是指芯片的某一个给定点上时钟周期发生暂时性变化,也就是说时钟周期在不同的周期上可能加长或缩短。

它是一个平均值为0的平均变量。

16:

FPGA设计中对时钟的使用?

(例如分频等)设计中对时钟的使用?

(例如分频等)4FPGA芯片有固定的时钟路由,这些路由能有减少时钟抖动和偏差。

需要对时钟进行相位移动或变频的时候,一般不允许对时钟进行逻辑操作,这样不仅会增加时钟的偏差和抖动,还会使时钟带上毛刺。

一般的处理方法是采用FPGA芯片自带的时钟管理器如PLL,DLL或DCM,或者把逻辑转换到触发器的D输入(这些也是对时钟逻辑操作的替代方案)。

17:

FPGA设计中如何实现同步时序电路的延时?

设计中如何实现同步时序电路的延时?

首先说说异步电路的延时实现:

异步电路一半是通过加buffer、两级与非门等来实现延时(我还没用过所以也不是很清楚),但这是不适合同步电路实现延时的。

在同步电路中,对于比较大的和特殊要求的延时,一半通过高速时钟产生计数器,通过计数器来控制延时;对于比较小的延时,可以通过触发器打一拍,不过这样只能延迟一个时钟周期。

18:

FPGA中可以综合实现为中可以综合实现为RAM/ROM/CAM的三种资源及其注意事项?

的三种资源及其注意事项?

三种资源:

BLOCKRAM,触发器(FF),查找表(LUT);注意事项:

1:

在生成RAM等存储单元时,应该首选BLOCKRAM资源;其原因有二:

第一:

使用BLOCKRAM等资源,可以节约更多的FF和4-LUT等底层可编程单元。

使用BLOCKRAM可以说是“不用白不用”,是最大程度发挥器件效能,节约成本的一种体现;第二:

BLOCKRAM是一种可以配置的硬件结构,其可靠性和速度与用LUT和REGISTER构建的存储器更有优势。

2:

弄清FPGA的硬件结构,合理使用BLOCKRAM资源;3:

分析BLOCKRAM容量,高效使用BLOCKRAM资源;4:

分布式RAM资源(DISTRIBUTERAM)19:

Xilinx中与全局时钟资源和中与全局时钟资源和DLL相关的硬件原语:

相关的硬件原语:

常用的与全局时钟资源相关的Xilinx器件原语包括:

IBUFG,IBUFGDS,BUFG,BUFGP,BUFGCE,BUFGMUX,BUFGDLL,DCM等。

关于各个器件原语的解释可以参考FPGA设计指导准则p50部分。

20:

HDL语言的层次概念?

语言的层次概念?

HDL语言是分层次的、类型的,最常用的层次概念有系统与标准级、功能模块级,行为级,寄存器传输级和门级。

系统级,算法级,RTL级(行为级),门级,开关级21:

查找表的原理与结构?

查找表的原理与结构

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活经验 > 旅游购物

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1