FPGA开发入门教程.pdf

上传人:b****3 文档编号:3215698 上传时间:2022-11-20 格式:PDF 页数:51 大小:2.94MB
下载 相关 举报
FPGA开发入门教程.pdf_第1页
第1页 / 共51页
FPGA开发入门教程.pdf_第2页
第2页 / 共51页
FPGA开发入门教程.pdf_第3页
第3页 / 共51页
FPGA开发入门教程.pdf_第4页
第4页 / 共51页
FPGA开发入门教程.pdf_第5页
第5页 / 共51页
点击查看更多>>
下载资源
资源描述

FPGA开发入门教程.pdf

《FPGA开发入门教程.pdf》由会员分享,可在线阅读,更多相关《FPGA开发入门教程.pdf(51页珍藏版)》请在冰豆网上搜索。

FPGA开发入门教程.pdf

AlteraFPGA开发入门教程Reversion1.0Copyright2013云虎科技目录目录第一章AlteraFPGA开发流程概述.11.1你需要准备的.11.2AlteraFPGA基本开发流程.1第二章QuartusII软件安装教程.4第三章完成第一个FPGA设计.203.1启动和建立QuartusII工程.203.2编辑我们的设计文件.273.3综合、布局布线.303.4引脚约束.343.5再次综合、布局布线.37第四章配置FPGA.384.1JTAG配置.384.2JIC烧写.42第一章AlteraFPGA开发流程概述1第一章AlteraFPGA开发流程概述本章介绍AlteraFPGA的最基本最简单的开发流程,目的在于让您更直观了解FPGA开发设计过程,最快上手FPGA开发,最快找到感觉:

-)1.1你需要准备的兴趣无需多言,兴趣是最好的老师!

基本电路知识学习FPGA最好能懂一些模拟电路和数字电路的基础知识,比如知道什么是高电平、低电平、逻辑门、触发器、电阻电容、发光二极管等。

只需基本概念即可,不要求你是专家。

当然,如果你有单片机之类的开发经验,那会更好!

Verilog语言是的,我们用Verilog进行FPGA设计。

因为近年来,Verilog的使用率已经远远超过VHDL。

你不需要太精通Verilog的语法,但是你需要用硬件的思维来学习和使用Verilog。

在接下来的FPGA学习中,我们会反复强调这一点,以便带给你更深的体会。

硬件平台纸上得来终觉浅。

一块优秀而又易用的入门级FPGA开发板,会祝您一臂之力!

1.2AlteraFPGA基本开发流程图1-1展示了AlteraFPGA的基本开发流程。

第一章AlteraFPGA开发流程概述2图1-1AlteraFPGA基本开发流程这个流程可能是你看过类似教程中最简单的流程。

是的,为了让学者能直观了解FPGA设计流程、快速入门,我们简化了一些东西,但它已经基本完整了。

图1-1分为左右两个虚线框,其中左侧虚线框内的步骤是必须步骤,右侧虚线框内的步骤是可选步骤。

需要特别说明的是,“可选步骤”并非“不重要步骤”,相反,它很重要,至于为什么重要,你将在以后的章节中逐步了解。

通常,我们对任何事物的理解都是从框架开始的,然后再逐步细分、深入。

对于FPGA设计,我们也先从认识它的大概框架(基本设计步骤)开始,然后再详细介绍这些步骤。

第一章AlteraFPGA开发流程概述3下面简要介绍必须步骤的概念。

(1)创建工程创建工程的目的是告诉工具软件(对于Altera家的工具软件,指QuartusII软件)一些基本的信息,比如你打算使用的FPGA型号等。

此外,工程文件将帮你管理一系列与该工程有关的文件和设置(或约束)。

(2)设计输入这个步骤其实就是“编程序”。

当然,用“设计”这个词会更确切因为完成的程序里包含了个人的设计思维,不是照本宣科,你是在“设计”电路,FPGA里的电路。

设计要用到的语言就是Verilog语言了。

(3)综合、布局布线没错,我把两个步骤合在一起了。

因为这两个步骤是QuartusII软件帮我们完成的,一般不需要我们做什么。

综合、布局布线后会生成“报告”,里面一般会有Warnings和Errors。

这些报告信息会辅助你修改你设计中的bug。

(4)配置“配置”这个词也许你听着觉得有点别扭,那就换个说法,叫做“下载”或者“烧程序”吧。

可是在FPGA中,“配置”还是一个比较复杂的活。

这个会在第四章里做详细介绍。

上面介绍的这些只是最基本的步骤(大概框架),让你对FPGA的设计流程有个基本认识,有了这些概念,就可以开始FPGA设计了。

下一章将教你如何安装QuartusII软件。

第二章QuartusII软件安装教程4第二章QuartusII软件安装教程本章以QuartusII12.0为例,手把手教你如何安装和激活QuartusII软件。

安装QuartusII12.0,需要以下三个软件包(如图2-1所示):

图2-1QuartusII12.0必备安装包三个软件包可以到以下百度网盘下载:

链接:

http:

/密码:

h69f这三个软件包分别为“主程序”、“器件库”和“激活工具”。

整个安装步骤也分成三大部分,分别为“主程序安装”、“器件库安装”和“激活”。

下面分别介绍这三个部分的具体操作。

2.1主程序安装首先双击“主程序”文件,显示如图2-2。

第二章QuartusII软件安装教程5图2-2安装文件需要解包到一个临时文件夹,请选择一个临时文件夹,并且保证有足够的空间(至少5G吧)解包完成后,自动打开正式的安装程序(如图2-3所示):

第二章QuartusII软件安装教程6图2-3一路点击“Next”,直到出现如下界面。

此时,要求选择Quartus的安装路径(图2-4):

第二章QuartusII软件安装教程7图2-4这里可以选择安装到d盘、e盘等,但是不建议更改默认路径,尤其是要保证路径中没有空格、中文字符,因为QuartusII不识别这些字符作为路径。

指定完路径后,点击Next,状态如图2-5。

第二章QuartusII软件安装教程8图2-5选择安装内容。

在QuartusII12.0版本中,简化了安装内容的选项。

NiosII工具和QuartusII工具捆绑在一起安装。

如果不安装64位的版本,则直接点击Next,安装程序开始解压复制文件。

完成后,显示如下对话框(图2-6):

第二章QuartusII软件安装教程9图2-6点击OK即可,安装程序会询问是否需要删除临时文件夹(图2-7):

图2-7为了节省空间,可以选择Yes来删除临时文件夹。

接着显示安装完成(图2-8):

第二章QuartusII软件安装教程10图2-8桌面上出现两个图标,分别为QuartusII工具和NiosII工具(图2-9)。

图2-9先不要急着点击上面两个软件图标,因为QuartusII软件还没有完全安装完成(以上只是完全了第一部分“主程序的安装”)。

下面介绍第二部分器件库的第二章QuartusII软件安装教程11安装。

2.2器件库安装双击器件库安装程序,开始安装器件库。

与第一部分相同,一样要选择一个临时文件夹,解开安装程序,一路Next,直到请用户选择安装器件(图2-10):

图2-10如果硬盘空间充足,可以按默认状态安装所有的器件。

注意,高端器件Stratix系列并不包含在这个器件库中,如需安装需要另外下载。

一路Next到出现下面的界面,表示器件库安装完成(图2-11):

第二章QuartusII软件安装教程12图2-11点击Finish完成安装。

接下来需要激活。

注意,此时仍然不要打开QuartusII工具和NiosII工具,否则可能导致激活失败。

下面介绍第三个部分激活。

2.3激活先关闭杀毒软件,然后双击“破解工具”的压缩包。

运行“破解器”(图2-12):

图2-12打开激活工具(图2-13):

第二章QuartusII软件安装教程13图2-13直接点击“应用”,跳出如下对话框(图2-14)图2-14点击“是”,人工指定位置:

第二章QuartusII软件安装教程14图2-15找到刚才安装QuartusII主程序的目录下的bin文件夹,点选“sys_cpt.dll”(图2-16):

图2-16第二章QuartusII软件安装教程15接着指定生成license文件的存储位置。

这个可以随意选择。

本例子中选择存放在c:

altera12.0目录下。

点击保存。

生成license文件后,打开桌面上QuartusII图标,显示需要对license进行配置:

图2-17选择最后一项,指定license文件,点击Ok,此时出现license管理窗口(图2-18):

第二章QuartusII软件安装教程16图2-18在窗口的下方“NetworkInterfaceCard(NIC)ID”框中会显示网卡的mac地址。

如果你的电脑有多个网卡(比如有线网卡和无线网卡),则它会显示多个mac地址。

随意选中其中一个mac地址,并且Ctrl+C复制。

此时随即用记事本打开刚才破解工具生成的license文件(图2-19)第二章QuartusII软件安装教程17图2-19把license文件中的xxxxxx用网卡的mac地址代替掉,如上图所示,保存更改后的license文件。

回到Quartus的license管理窗口,在第一行Licensefile里指定刚才更改好的license文件(图2-20):

第二章QuartusII软件安装教程18图2-20点击ok,此时QuartusII主界面会启动,安装和激活完成(如下图)。

至此,QuartusII软件安装完成!

(图2-21)第二章QuartusII软件安装教程19图2-21第三章进行第一个FPGA设计20第三章进行第一个FPGA设计本章将引导您使用AlteraQuartusII软件进行第一个FPGA设计。

本章以一个简单的小实验为例,介绍QuartusII软件从启动,建立工程,编辑设计文件,编译、引脚约束,的全部过程,为刚开始选择Altera公司CPLD/FPGA芯片,使用QuartusII软件做开发的同学提供了一个简洁明了的直观印象。

本章以及后续过程使用的QuartusII软件均以12.0版本为例。

其他版本的QuartusII,操作步骤大同小异。

3.1启动和建立QuartusII工程首先,打开QuartusII软件,显示整体界面如图3-1所示。

选择FileNewProjectWizard,也即新建工程向导。

第三章进行第一个FPGA设计21图3-1QuartusII主界面选择新建工程向导之后,会出现新建工程向导的介绍部分,如图3-2所示。

此介绍主要主要说明了新建工程向导的作用,你也可以选择勾上左下角的Dontshowmethisintroductionagain选项,选择以后新建工程时不再出现这个鸡肋的过程。

第三章进行第一个FPGA设计22图3-2新建工程向导-介绍接下来开始正是新建工程。

点击图3-2右下角的NEXT,出现图3-3的界面。

这一步的主要目标是设置工程存放文件夹的路径,工程名称以及顶层实体的名称。

工程路径的默认是在QuartusII的安装路径下,请点击右边的“.按钮设置新的路径。

由于QuartusII是从Unix系统移植的工具软件,因此文件夹的路径和文件名有一定要求。

简单说,就是文件夹名和文件名不要有中文和空格,这点十分重要,不然会出现许多莫名其妙的错误。

我们在d盘下建立一个名为and_gate的文件夹(或目录,接下来我们不区别“文件夹”和“目录”这两种说法),并选择它作为工程目录(也可以不手动建立,将会在下一步提示自动建立)。

第三章进行第一个FPGA设计23图3-3新建工程向导-工程文件夹和名称设置设置完工程目录的路径后,请在第二个文本框内填入工程名称(projectname)。

这里填入and_gate。

这时QuartusII软件会在第三个文本框内自动完成顶层模块的名称,和工程名称一样。

注意,顶层模块的名称不一定要和工程名称一样,但请记住它,后面会用到。

接下来是向工程之中添加

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 财务管理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1