VGA彩条信号显示控制电路.docx

上传人:b****6 文档编号:3156226 上传时间:2022-11-18 格式:DOCX 页数:12 大小:126.03KB
下载 相关 举报
VGA彩条信号显示控制电路.docx_第1页
第1页 / 共12页
VGA彩条信号显示控制电路.docx_第2页
第2页 / 共12页
VGA彩条信号显示控制电路.docx_第3页
第3页 / 共12页
VGA彩条信号显示控制电路.docx_第4页
第4页 / 共12页
VGA彩条信号显示控制电路.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

VGA彩条信号显示控制电路.docx

《VGA彩条信号显示控制电路.docx》由会员分享,可在线阅读,更多相关《VGA彩条信号显示控制电路.docx(12页珍藏版)》请在冰豆网上搜索。

VGA彩条信号显示控制电路.docx

VGA彩条信号显示控制电路

VGA彩条信号显示控制电路

摘要

本设计是用FPGA来实现VGA图像控制器,控制显示器显示彩条信号,用VHDL语言,在QuartusII上实现软件仿真。

本文首先介绍了VGA显示的基本原理,然后提出了一种VGA图像控制器的设计方案,并用VHDL硬件描述语言和原理图输入的方法完成了该方案的设计,通过显示横彩条、竖彩条、棋盘格,验证了VGA_SYNC同步信号功能模块时序的正确性,软件实验环境为QuartusII6.0开发软件。

本系统尝试用FPGA实现VGA图像显示控制器,这一过程通过编程实现,之后通过软件的测试和仿真,当软件验证无误后完成硬件的下载验证,最终在显示器上实现输出,基本原理就是利用FPGA的可编程原理和VGA的时序控制原理,这在产品开发设计中有许多实际应用。

例如显示器,电视等的维修,可以期望采用FPGA设计的VGA接口可以将要显示的数据直接送到显示器,就可以可靠的找到故障的大致原因等。

从而省掉每次都要接信号源的麻烦,同时也节约了成本。

节省了计算机的处理过程,加快了数据的处理速度,节约了硬件成本。

同时亦可将其作为信号源,应用于电视机或计算机等彩色显示器的电路开发,方便彩色显示器驱动控制电路的调试。

[关键词]:

VGA;FPGA;图像控制器

inadvance,closelyassociatedwiththeparty'spatrioticyouthYuQingzhiwhenChang.YuQingZhi,nanlingCounty,AnhuiWuhuBrookfamilybeachpeople,afterthestartofthewar,participatedinthethirdwarzonerelativetotheofficertrainingCorpstrainees,youngChangShenLiqunfromShangrao,Jiangxiprovince,istheonlymilitary

哈尔滨理工理工大学VGA彩条信号显示控制电路

1绪论..........................................................-2-2设计要求及方案论证............................................-3-

2.1设计要求................................................-3-

2.2设计方案................................................-3-3系统设计......................................................-4-

3.1设计原理................................................-4-

3.2程序设计................................................-5-

3.2.1时钟部分..........................................-5-

3.2.2色彩显示部分......................................-5-

3.2.3程序流程图........................................-5-4系统仿真......................................................-5-

实验小结......................................................-9-5

参考文献.......................................................-11-程序清单.......................................................-11-

-1-

1绪论

现如今,随着液晶显示器的出现,越来越多的数字产品开始使用液晶作为显示终端。

但基于VGA标准的显示器仍是目前普及率最高的显示器。

若驱动此类显示器,需要很高的扫面频率,以及极短的处理时间,正是由于这些特点,所以可以用FPGA来实现对VGA显示器的驱动。

在许多图像处理系统中,需要将经过处理的图像显示出来,如果采用传统的办法将图像数据传回电脑并通过显示器显示出来,那么在传输的过程中就需要嵌入式系统的CPU不断的对所传输的图像数据信号进行控制,这样就造成了CPU资源的浪费,同样系统还需要依赖电脑,降低了系统的灵活性。

如果采用FPGA对显示器的VGA接口进行设计,数据流只需要在整个系统的内部流动,而不需要依靠计算机,实现了系统的最小化,大大减少了电路板的尺寸,增强了系统的可靠性和设计的灵活性。

VGA(视频图形阵列)作为一种标准的显示接口,因为其输出信息量大,输出形式多样等特点已经成为现在大多数设计的常用输出设备。

与此同时,各种大规模集成电路的出现也为大量数字化信息的处理提供了有效的手段。

其中,FPGA(现场可编程逻辑阵列)即是其中比较有代表性的一种。

FPGA(现场可编程门阵列)是可编程逻辑器件,它是在PAL,GAL等逻辑器件的基础上发展起来的,同以往的PAL,GAL等相比,FPGA的规模比较大,它可以替代几十甚至几千块通用IC芯片。

它以操作灵活、开发迅速、投资风险低、可多次编程擦写和系统可编程等特点而成为一种可优化硬件电路设计且具竞争力的产品。

本设计依据Altera公司CycloneII系列的FPGA进行设计,最终实现横彩

ivetotheofficertrainingCorpstrainees,youngChangShenLiqunfromShangrao,Jiangxiprovince,istheonlymilitaryuBrookfamilybeachpeople,afterthestartofthewar,participatedinthethirdwarzonerelatinadvance,closelyassociatedwiththeparty'spatrioticyouthYuQingzhiwhenChang.YuQingZhi,nanlingCounty,AnhuiWuh-2-

哈尔滨理工理工大学VGA彩条信号显示控制电路

条、竖彩条、棋盘格、字符、汉字及单色图像的显示。

设计的硬件部分采用DE2开发板――内含AlteraCycloneII系列的EP2C35F672C6FPGA芯片,并集成了许多外设,其中包含VGA显示接口。

软件部分用VHDL语言进行编程,并在Altera公司的EDA软件工具Quartus?

进行程序编译、调试、波形仿真和下载。

2设计要求及设计方案

2.1设计要求

学会使用软件进行设计与仿真,通过对电路的设计,编程和仿真,学习的兴趣。

具体要求如下:

对于普通的VGA显示器其引出线共含5个信号:

R、G、B三基色信号

HS行同步信号

VS场同步信号

按照其扫描时序进行控制,显示彩条信号。

2.2设计方案

CPLD是整个系统的核心,通过对其编程可输出RGB三基色信号和HS、VS行场扫描同步信号。

当CPLD接受单片机输出的控制信号后,内部的数据选择器模块根据控制信号选通相应的图像生成模块,输出图像信号,与行场扫描时序信号一起通过15针D型接口电路送入VGA显示器,在VGA显示器上便可以看到对应的彩色图像。

CPLD所需的工作时钟由外部高精度有源晶振提供,系统原理框图如图2.1。

电源

接控VGA

口制CPLD显示器

电按

路键

-3-

时钟电路

图2.1系统原理框图

3系统设计

3.1设计原理

工业标准的VGA显示模式为:

640×468×16色×60Hz。

常见的彩色显示器,一般由CRT(阴极射线管)构成,彩色是由R、G、B(红、绿、蓝)三基色组成,CRT用逐行扫描或隔行扫描的方式实现图像显示,由VGA控制模块产生的水平同步信号和垂直同步信号控制阴极射线枪产生的电子束,打在涂有荧光粉的荧光屏上,产生R、G、B三基色,合成一个彩色像素。

扫描从屏幕的左上方开始,由左至右,由上到下,逐行进行扫描,每扫完一行,电子束回到屏幕下一行的起始位置,在回扫期间,CRT对电子束进行消隐,每行结束是用行同步信号HS进行行同步;扫描完所有行,再由场同步信号VS进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一场的扫描。

行同步信号HS和场同步信号VS是两个重要的信号。

显示过程中,HS和VS的极性可正可负,显示器内可自动转换为正极性逻辑。

VGA行同步信号HS和场同步信号VS的时序图如图2所示,T1为行同步消隐(约为6μs);T2为行显示时间(约为26μs);T3为场同步消隐(两个行周期);T4为场显示时间(480个行周期)。

显示器每扫描完一行,再扫描一下行时会花一定时间来准备,因此要满足时序要求,见图3.1。

从0计数到639

ivetotheofficertrainingCorpstrainees,youngChangShenLiqunfromShangrao,Jiangxiprovince,istheonlymilitaryuBrookfamilybeachpeople,afterthestartofthewar,participatedinthethirdwarzonerelatinadvance,closelyassociatedwiththeparty'spatrioticyouthYuQingzhiwhenChang.YuQingZhi,nanlingCounty,AnhuiWuh-4-

哈尔滨理工理工大学VGA彩条信号显示控制电路

图3.1VGA行扫描时序图

同样每扫描完一帧,再扫描下一帧行时也会花一定时间来准备,因此也要满足其时序要求,见图3.2。

从0计数到479

图3.2VGA场扫描时序图

对于VGA显示器的上述五个信号的时序驱动要严格遵循“VGA工业标准”,即640×480×60HZ模式,否则无法显示正确地图象。

VGA工业标准要求的频率:

时钟频率:

25.175MHz(像素输出的频率)

行频:

31469Hz

场频:

59.94Hz(每秒图像刷新频率)

3.2程序设计

3.2.1时钟部分

试验箱上时钟脉冲是20MHz,因此在实验时需要对其进行分频,才能实现功能,若需要1Hz的时钟,则需要对脉冲进行10000000次分频。

本设计由锁相环产生25MHz时钟。

如图3.3

-5-

图3.3

3.2.2色彩显示部分

图象信号显示的颜色种类与表示R、G、B三基色的二进制数位数有关,表3.1列出了8种颜色的编码方式。

表3.1

本设计共可产生3种显示模式,6种显示变化,如表3.2所示。

1横彩条1:

白黄青绿红蓝黑2:

黑蓝红绿青黄百

2竖彩条1:

白黄青绿红蓝黑2:

黑蓝红绿青黄百

3棋盘格1:

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 其它

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1