ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:126.03KB ,
资源ID:3156226      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3156226.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VGA彩条信号显示控制电路.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

VGA彩条信号显示控制电路.docx

1、VGA彩条信号显示控制电路VGA彩条信号显示控制电路摘 要 本设计是用 FPGA 来实现 VGA 图像控制器,控制显示器显示彩条信号,用VHDL语言,在QuartusII上实现软件仿真。本文首先介绍了VGA显示的基本原理,然后提出了一种VGA图像控制器的设计方案,并用VHDL硬件描述语言和原理图输入的方法完成了该方案的设计,通过显示横彩条、竖彩条、棋盘格,验证了VGA_SYNC同步信号功能模块时序的正确性, 软件实验环境为 Quartus II 6.0 开发软件。 本系统尝试用FPGA实现 VGA图像显示控制器,这一过程通过编程实现,之后通过软件的测试和仿真,当软件验证无误后完成硬件的下载验证

2、,最终在显示器上实现输出,基本原理就是利用FPGA的可编程原理和VGA的时序控制原理,这在产品开发设计中有许多实际应用。例如显示器,电视等的维修,可以期望采用FPGA设计的VGA接口可以将要显示的数据直接送到显示器,就可以可靠的找到故障的大致原因等。从而省掉每次都要接信号源的麻烦,同时也节约了成本。节省了计算机的处理过程,加快了数据的处理速度,节约了硬件成本。同时亦可将其作为信号源,应用于电视机或计算机等彩色显示器的电路开发,方便彩色显示器驱动控制电路的调试。 关键词: VGA ;FPGA ;图像控制器 in advance, closely associated with the party

3、s patriotic youth Yu Qingzhi when Chang. Yu Qing Zhi, nanling County, Anhui Wuhu Brook family beach people, after the start of the war, participated in the third war zone relative to the officer training Corps trainees, young Chang Shen Liqun from Shangrao, Jiangxi province, is the only military 哈尔滨

4、理工理工大学VGA彩条信号显示控制电路 1 绪论. - 2 - 2 设计要求及方案论证. - 3 - 2.1 设计要求 . - 3 - 2.2 设计方案 . - 3 - 3 系统设计. - 4 - 3.1 设计原理 . - 4 - 3.2 程序设计 . - 5 - 3.2.1时钟部分 . - 5 - 3.2.2色彩显示部分 . - 5 - 3.2.3程序流程图 . - 5 - 4 系统仿真. - 5 - 实验小结. - 9 - 5参考文献. - 11 - 程序清单. - 11 - - 1 - 1绪论 现如今,随着液晶显示器的出现,越来越多的数字产品开始使用液晶作为显示终端。但基于VGA标准的显

5、示器仍是目前普及率最高的显示器。若驱动此类显示器,需要很高的扫面频率,以及极短的处理时间,正是由于这些特点,所以可以用FPGA来实现对VGA显示器的驱动。 在许多图像处理系统中,需要将经过处理的图像显示出来,如果采用传统的办法将图像数据传回电脑并通过显示器显示出来,那么在传输的过程中就需要嵌入式系统的CPU不断的对所传输的图像数据信号进行控制,这样就造成了CPU资源的浪费,同样系统还需要依赖电脑,降低了系统的灵活性。如果采用FPGA对显示器的VGA接口进行设计,数据流只需要在整个系统的内部流动,而不需要依靠计算机,实现了系统的最小化,大大减少了电路板的尺寸,增强了系统的可靠性和设计的灵活性。

6、VGA( 视频图形阵列) 作为一种标准的显示接口, 因为其输出信息量大, 输出形式多样等特点已经成为现在大多数设计的常用输出设备。与此同时, 各种大规模集成电路的出现也为大量数字化信息的处理提供了有效的手段。其中, FPGA( 现场可编程逻辑阵列) 即是其中比较有代表性的一种。FPGA( 现场可编程门阵列) 是可编程逻辑器件, 它是在PAL, GAL 等逻辑器件的基础上发展起来的, 同以往的PAL, GAL 等相比, FPGA 的规模比较大,它可以替代几十甚至几千块通用IC 芯片。它以操作灵活、开发迅速、投资风险低、可多次编程擦写和系统可编程等特点而成为一种可优化硬件电路设计且具竞争力的产品。

7、 本设计依据Altera公司Cyclone II系列的FPGA进行设计,最终实现横彩ive to the officer training Corps trainees, young Chang Shen Liqun from Shangrao, Jiangxi province, is the only militaryu Brook family beach people, after the start of the war, participated in the third war zone relatin advance, closely associated with the p

8、artys patriotic youth Yu Qingzhi when Chang. Yu Qing Zhi, nanling County, Anhui Wuh- 2 - 哈尔滨理工理工大学VGA彩条信号显示控制电路 条、竖彩条、棋盘格、字符、汉字及单色图像的显示。设计的硬件部分采用DE2开发板内含Altera Cyclone II 系列的EP2C35F672C6 FPGA芯片,并集成了许多外设,其中包含VGA显示接口。软件部分用VHDL语言进行编程,并在Altera公司的EDA软件工具Quartus ?进行程序编译、调试、波形仿真和下载。 2 设计要求及设计方案 2.1 设计要求 学会

9、使用软件进行设计与仿真,通过对电路的设计,编程和仿真,学习的兴趣。具体要求如下: 对于普通的VGA显示器其引出线共含5个信号: R、G、B三基色信号 HS行同步信号 VS场同步信号 按照其扫描时序进行控制,显示彩条信号。 2.2 设计方案 CPLD是整个系统的核心,通过对其编程可输出RGB三基色信号和HS 、VS行场扫描同步信号。当 CPLD接受单片机输出的控制信号后,内部的数据选择器模块根据控制信号选通相应的图像生成模块,输出图像信号,与行场扫描时序信号一起通过15针D型接口电路送入VGA显示器,在VGA显示器上便可以看到对应的彩色图像。CPLD所需的工作时钟由外部高精度有源晶振提供,系统原

10、理框图如图2.1。 电源 接控 VGA 口制CPLD 显示器 电按路 键 - 3 - 时钟电路 图2.1 系统原理框图 3系统设计 3.1设计原理 工业标准的VGA显示模式为:64046816色60Hz。常见的彩色显示器,一般由CRT (阴极射线管)构成,彩色是由R、G、B(红、绿、蓝)三基色组成,CRT用逐行扫描或隔行扫描的方式实现图像显示,由VGA控制模块产生的水平同步信号和垂直同步信号控制阴极射线枪产生的电子束,打在涂有荧光粉的荧光屏上,产生R、G、B三基色,合成一个彩色像素。扫描从屏幕的左上方开始,由左至右,由上到下,逐行进行扫描,每扫完一行,电子束回到屏幕下一行的起始位置,在回扫期间

11、,CRT对电子束进行消隐,每行结束是用行同步信号HS进行行同步;扫描完所有行,再由场同步信号VS进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一场的扫描。行同步信号HS 和场同步信号VS是两个重要的信号。显示过程中,HS 和VS的极性可正可负,显示器内可自动转换为正极性逻辑。 VGA行同步信号HS和场同步信号VS的时序图如图2所示, T1为行同步消隐(约为6s);T2为行显示时间(约为26s);T3为场同步消隐(两个行周期);T4为场显示时间(480个行周期)。 显示器每扫描完一行,再扫描一下行时会花一定时间来准备,因此要满足时序要求,见图3.1。 从0计数到639 ive to

12、 the officer training Corps trainees, young Chang Shen Liqun from Shangrao, Jiangxi province, is the only militaryu Brook family beach people, after the start of the war, participated in the third war zone relatin advance, closely associated with the partys patriotic youth Yu Qingzhi when Chang. Yu

13、Qing Zhi, nanling County, Anhui Wuh- 4 - 哈尔滨理工理工大学VGA彩条信号显示控制电路 图3.1 VGA行扫描时序图 同样每扫描完一帧,再扫描下一帧行时也会花一定时间来准备,因此也要满足其时序要求,见图3.2。 从0计数到479 图3.2 VGA场扫描时序图 对于VGA 显示器的上述五个信号的时序驱动要严格遵循“VGA工业标准”,即64048060HZ模式,否则无法显示正确地图象。 VGA工业标准要求的频率: 时钟频率:25.175MHz(像素输出的频率) 行频: 31469Hz 场频: 59.94Hz(每秒图像刷新频率) 3.2程序设计 3.2.1时钟部分 试验箱上时钟脉冲是20MHz,因此在实验时需要对其进行分频,才能实现功能,若需要1Hz的时钟,则需要对脉冲进行10000000次分频。本设计由锁相环产生25MHz时钟。如图3.3 - 5 - 图3.3 3.2.2色彩显示部分 图象信号显示的颜色种类与表示R、G、B三基色的二进制数位数有关,表3.1列出了8种颜色的编码方式。 表3.1 本设计共可产生3种显示模式,6种显示变化,如表3.2所示。 1 横彩条 1:白黄青绿红蓝黑 2:黑蓝红绿青黄百 2 竖彩条 1:白黄青绿红蓝黑 2:黑蓝红绿青黄百 3 棋盘格 1:棋

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1